-
1
-
-
0003703503
-
-
Master's Thesis, Department of Electrical Eng. and Computer Sciences, University of California, Berkeley, September
-
N. Shah, Understanding network processors, Master's Thesis, Department of Electrical Eng. and Computer Sciences, University of California, Berkeley, September 2001.
-
(2001)
Understanding Network Processors
-
-
Shah, N.1
-
2
-
-
0030679033
-
An approach for quantitative analysis of application-specific dataflow architectures
-
B. Kienhuis, E. Deprettere, K. Vissers, P. van der Wolf, An approach for quantitative analysis of application-specific dataflow architectures, in: Application-Specific Systems, Architectures, and Processors (ASAP), 1997, pp. 338-349.
-
(1997)
Application-specific Systems, Architectures, and Processors (ASAP)
, pp. 338-349
-
-
Kienhuis, B.1
Deprettere, E.2
Vissers, K.3
Van Der Wolf, P.4
-
3
-
-
0003733188
-
-
Kluwer Academic Publishers, Dordrecht
-
F. Balarin, M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno, C. Passerone, A. Sangiovanni-Vincentelli, E. Sentovich, K. Suzuki, B. Tabbara, Hardware-Software Co-Design of Embedded Systems: The Polis Approach, No. 404 in International Series in Engineering and Computer Science, Kluwer Academic Publishers, Dordrecht, 1997.
-
(1997)
Hardware-software Co-design of Embedded Systems: the Polis Approach, No. 404 in International Series in Engineering and Computer Science
-
-
Balarin, F.1
Chiodo, M.2
Giusto, P.3
Hsieh, H.4
Jurecska, A.5
Lavagno, L.6
Passerone, C.7
Sangiovanni-Vincentelli, A.8
Sentovich, E.9
Suzuki, K.10
Tabbara, B.11
-
4
-
-
0034428118
-
System-level design: Orthogonalization of concerns and platform-based design
-
K. Keutzer, A. Newton, J. Rabaey, A. Sangiovanni-Vincentelli, System-level design: orthogonalization of concerns and platform-based design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 19 (12) (2000) 1523-1543.
-
(2000)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.19
, Issue.12
, pp. 1523-1543
-
-
Keutzer, K.1
Newton, A.2
Rabaey, J.3
Sangiovanni-Vincentelli, A.4
-
5
-
-
0036045166
-
WATSON: A multi-objective design space exploration tool for analog and RF IC design
-
B. De Smedt, G. Gielen, WATSON: a multi-objective design space exploration tool for analog and RF IC design, in: IEEE 2002 Custom Integrated Circuits Conference, 2002, pp. 31-34.
-
(2002)
IEEE 2002 Custom Integrated Circuits Conference
, pp. 31-34
-
-
De Smedt, B.1
Gielen, G.2
-
7
-
-
0035215332
-
NetBench: A benchmarking suite for network processors
-
G. Memik, W.H. Mangione-Smith, W. Hu, NetBench: a benchmarking suite for network processors, in: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2001, pp. 39-42.
-
(2001)
IEEE/ACM International Conference on Computer-aided Design (ICCAD)
, pp. 39-42
-
-
Memik, G.1
Mangione-Smith, W.H.2
Hu, W.3
-
8
-
-
9644261428
-
A benchmarking methodology for network processors
-
P. Crowley, M. Franklin, H. Hadimioglu, P. Onufryk (Eds.), Morgan Kaufmann Publishers
-
M. Tsai, C. Kulkarni, C. Sauer, N. Shah, K. Keutzer, A benchmarking methodology for network processors, in: P. Crowley, M. Franklin, H. Hadimioglu, P. Onufryk (Eds.), Network Processor Design: Issues and Practices, Vol. 1, Morgan Kaufmann Publishers, 2002, pp. 141-165.
-
(2002)
Network Processor Design: Issues and Practices
, vol.1
, pp. 141-165
-
-
Tsai, M.1
Kulkarni, C.2
Sauer, C.3
Shah, N.4
Keutzer, K.5
-
9
-
-
9644261429
-
Benchmarking network processors
-
P. Crowley, M. Franklin, H. Hadimioglu, P. Onufryk (Eds.), Morgan Kaufmann Publishers, Los Altos, CA
-
P. Chandra, F. Hady, R. Yavatkar, T. Bock, M. Cabot, P. Mathew, Benchmarking network processors, in: P. Crowley, M. Franklin, H. Hadimioglu, P. Onufryk (Eds.), Network Processor Design: Issues and Practices, Vol. 1, Morgan Kaufmann Publishers, Los Altos, CA, 2002, pp. 11-25.
-
(2002)
Network Processor Design: Issues and Practices
, vol.1
, pp. 11-25
-
-
Chandra, P.1
Hady, F.2
Yavatkar, R.3
Bock, T.4
Cabot, M.5
Mathew, P.6
-
10
-
-
84962779213
-
MiBench: A free, commercially representative embedded benchmark suite
-
M. Guthaus, J. Ringenberg, D. Ernst, T. Austin, T. Mudge, R. Brown, MiBench: a free, commercially representative embedded benchmark suite, in: IEEE 4th Annual Workshop on Workload Characterization, 2001, pp. 3-14.
-
(2001)
IEEE 4th Annual Workshop on Workload Characterization
, pp. 3-14
-
-
Guthaus, M.1
Ringenberg, J.2
Ernst, D.3
Austin, T.4
Mudge, T.5
Brown, R.6
-
11
-
-
0031339427
-
MediaBench: A tool for evaluating and synthesizing multimedia and communications systems
-
C. Lee, M. Potkonjak, W. Mangione-Smith, MediaBench: a tool for evaluating and synthesizing multimedia and communications systems, in: 13th Annual IEEE/ACM International Symposium on Microarchitecture, 1997, pp. 330-335.
-
(1997)
13th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 330-335
-
-
Lee, C.1
Potkonjak, M.2
Mangione-Smith, W.3
-
12
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
S.C. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta, The SPLASH-2 programs: Characterization and methodological considerations, in: 22nd International Symposium on Computer Architecture (ISCA), 1995, pp. 24-36.
-
(1995)
22nd International Symposium on Computer Architecture (ISCA)
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
13
-
-
0242444222
-
Taming heterogeneity - The ptolemy approach
-
J. Eker, J. Janneck, E. Lee, J. Liu, X. Liu, J. Ludvig, S. Neuendorffer, S. Sachs, Y. Xiong, Taming heterogeneity - the ptolemy approach, Proceedings of the IEEE 91 (1) (2003) 127-144.
-
(2003)
Proceedings of the IEEE
, vol.91
, Issue.1
, pp. 127-144
-
-
Eker, J.1
Janneck, J.2
Lee, E.3
Liu, J.4
Liu, X.5
Ludvig, J.6
Neuendorffer, S.7
Sachs, S.8
Xiong, Y.9
-
14
-
-
0000404969
-
A methodology for architecture exploration of heterogeneous signal processing systems
-
P. Lieverse, P. van der Wolf, K. Vissers, E. Deprettere, A methodology for architecture exploration of heterogeneous signal processing systems, Kluwer Journal of VLSI Signal Processing 29 (3) (2001) 197-207.
-
(2001)
Kluwer Journal of VLSI Signal Processing
, vol.29
, Issue.3
, pp. 197-207
-
-
Lieverse, P.1
Van Der Wolf, P.2
Vissers, K.3
Deprettere, E.4
-
15
-
-
84949231249
-
Towards efficient design space exploration of heterogeneous embedded media systems
-
Embedded processor design challenges. Systems, architectures, modeling, and simulation - SAMOS, Springer-Verlag, Berlin
-
A. Pimentel, S. Polstra, F. Terpstra, A. van Halderen, J. Coffland, L. Hertzberger, Towards efficient design space exploration of heterogeneous embedded media systems, in: Embedded processor design challenges. Systems, architectures, modeling, and simulation - SAMOS, Lecture Notes in Computer Science, Vol. 2268, Springer-Verlag, Berlin, 2002, pp. 57-73.
-
(2002)
Lecture Notes in Computer Science
, vol.2268
, pp. 57-73
-
-
Pimentel, A.1
Polstra, S.2
Terpstra, F.3
Van Halderen, A.4
Coffland, J.5
Hertzberger, L.6
-
16
-
-
0035499644
-
Exploring embedded-systems architectures with Artemis
-
A. Pimentel, L. Hertzberger, P. Lieverse, P. van der Wolf, E. Deprettere, Exploring embedded-systems architectures with Artemis, IEEE Computer 34 (11) (2001) 57-63.
-
(2001)
IEEE Computer
, vol.34
, Issue.11
, pp. 57-63
-
-
Pimentel, A.1
Hertzberger, L.2
Lieverse, P.3
Van Der Wolf, P.4
Deprettere, E.5
-
17
-
-
9644261610
-
-
Ph.D. thesis, Diss. ETH No. 14191, Swiss Federal Institute of Technology (ETH) Zurich, Switzerland (July)
-
M. Gries, Algorithm-architecture trade-offs in network processor design, Ph.D. thesis, Diss. ETH No. 14191, Swiss Federal Institute of Technology (ETH) Zurich, Switzerland (July 2001).
-
(2001)
Algorithm-architecture Trade-offs in Network Processor Design
-
-
Gries, M.1
-
18
-
-
0033688888
-
Design space exploration for hardware/software codesign of multiprocessor systems
-
A. Baghdadi, N. Zergainoh, W. Cesario, T. Roudier, A. Jerraya, Design space exploration for hardware/software codesign of multiprocessor systems, in: 11th International Workshop on Rapid System Prototyping (RSP), 2000, pp. 8-13.
-
(2000)
11th International Workshop on Rapid System Prototyping (RSP)
, pp. 8-13
-
-
Baghdadi, A.1
Zergainoh, N.2
Cesario, W.3
Roudier, T.4
Jerraya, A.5
-
19
-
-
0036977613
-
Rapid design space exploration of heterogeneous embedded systems using symbolic search and multi-granular simulation
-
S. Mohanty, V.K. Prasanna, S. Neema, J. Davis, Rapid design space exploration of heterogeneous embedded systems using symbolic search and multi-granular simulation, in: Workshop on Languages Compilers, and Tools for Embedded Systems (LCTES), 2002, pp. 1-12.
-
(2002)
Workshop on Languages Compilers, and Tools for Embedded Systems (LCTES)
, pp. 1-12
-
-
Mohanty, S.1
Prasanna, V.K.2
Neema, S.3
Davis, J.4
-
20
-
-
0004149896
-
-
Kluwer Academic Publishers, Dordrecht
-
T. Grötker, S. Liao, G. Martin, S. Swan, System Design with SystemC, Kluwer Academic Publishers, Dordrecht, 2002.
-
(2002)
System Design with SystemC
-
-
Grötker, T.1
Liao, S.2
Martin, G.3
Swan, S.4
-
21
-
-
0034996598
-
Introduction of system level architecture exploration using the SpecC methodology
-
L. Cai, D. Gajski, M. Olivarez, Introduction of system level architecture exploration using the SpecC methodology, in: IEEE International Symposium on Circuits and Systems, Vol. 5, 2001, pp. 9-12.
-
(2001)
IEEE International Symposium on Circuits and Systems
, vol.5
, pp. 9-12
-
-
Cai, L.1
Gajski, D.2
Olivarez, M.3
-
22
-
-
1142295581
-
Comparison of SpecC and SystemC languages for system design
-
University of California, Irvine, (May)
-
L. Cai, S. Verma, D.D. Gajski, Comparison of SpecC and SystemC languages for system design, Technical Report CECS 03-11, University of California, Irvine, (May 2003).
-
(2003)
Technical Report
, vol.CECS 03-11
-
-
Cai, L.1
Verma, S.2
Gajski, D.D.3
-
23
-
-
0345382715
-
SystemC cosimulation and emulation of multiprocessor SoC designs
-
L. Benini, D. Bertozzi, D. Bruni, N. Drago, F. Fummi, M. Poncino, SystemC cosimulation and emulation of multiprocessor SoC designs, IEEE Computer 36 (4) (2003) 53-59.
-
(2003)
IEEE Computer
, vol.36
, Issue.4
, pp. 53-59
-
-
Benini, L.1
Bertozzi, D.2
Bruni, D.3
Drago, N.4
Fummi, F.5
Poncino, M.6
-
24
-
-
1142299901
-
A modular simulation framework for architectural exploration of on-chip interconnection networks
-
T. Kogel, M. Doerper, A. Wieferink, R. Leupers, G. Ascheid, H. Meyr, S. Goossens, A modular simulation framework for architectural exploration of on-chip interconnection networks, in: CODES/ISSS, 2003, pp. 7-12.
-
(2003)
CODES/ISSS
, pp. 7-12
-
-
Kogel, T.1
Doerper, M.2
Wieferink, A.3
Leupers, R.4
Ascheid, G.5
Meyr, H.6
Goossens, S.7
-
25
-
-
3042656661
-
Using a communication architecture specification in an application-driven retargetable prototyping platform for distributed processing
-
X. Zhu, S. Malik, Using a communication architecture specification in an application-driven retargetable prototyping platform for distributed processing, in: Design Automation and Test in Europe (DATE), 2004, pp. 1244-1249.
-
(2004)
Design Automation and Test in Europe (DATE)
, pp. 1244-1249
-
-
Zhu, X.1
Malik, S.2
-
26
-
-
0036857007
-
StepNP: A system-level exploration platform for network processors
-
P. Paulin, C. Pilkington, E. Bensoudane, StepNP: a system-level exploration platform for network processors, IEEE Design & Test of Computers 19 (6) (2002) 17-26.
-
(2002)
IEEE Design & Test of Computers
, vol.19
, Issue.6
, pp. 17-26
-
-
Paulin, P.1
Pilkington, C.2
Bensoudane, E.3
-
27
-
-
0036469652
-
SimpleScalar: An infrastructure for computer system modeling
-
T. Austin, E. Larson, D. Ernst, SimpleScalar: an infrastructure for computer system modeling, IEEE Computer 35 (2) (2002) 59-67.
-
(2002)
IEEE Computer
, vol.35
, Issue.2
, pp. 59-67
-
-
Austin, T.1
Larson, E.2
Ernst, D.3
-
28
-
-
0030653560
-
Using the SimOS machine simulator to study complex computer systems
-
M. Rosenblum, E. Bugnion, S. Devine, S. Herrod, Using the SimOS machine simulator to study complex computer systems, ACM Transactions on Modeling and Computer Simulation 7 (1) (1997) 78-103.
-
(1997)
ACM Transactions on Modeling and Computer Simulation
, vol.7
, Issue.1
, pp. 78-103
-
-
Rosenblum, M.1
Bugnion, E.2
Devine, S.3
Herrod, S.4
-
29
-
-
0036857174
-
Developing architectural platforms: A disciplined approach
-
A. Mihal, C. Kulkarni, K. Vissers, M. Moskewicz, M. Tsai, N. Shah, S. Weber, Y. Jin, K. Keutzer, C. Sauer, S. Malik, Developing architectural platforms: a disciplined approach, IEEE Design & Test of Computers 19 (6) (2002) 6-16.
-
(2002)
IEEE Design & Test of Computers
, vol.19
, Issue.6
, pp. 6-16
-
-
Mihal, A.1
Kulkarni, C.2
Vissers, K.3
Moskewicz, M.4
Tsai, M.5
Shah, N.6
Weber, S.7
Jin, Y.8
Keutzer, K.9
Sauer, C.10
Malik, S.11
-
30
-
-
4444276034
-
Multi-view operation-level design - Supporting the design of irregular ASIPs
-
Electronics Research Laboratory, University of California at Berkeley (April)
-
S.J. Weber, M.W. Moskewicz, M. Löw, K. Keutzer, Multi-view operation-level design - supporting the design of irregular ASIPs. Technical Report UCB/ERL M03/12, Electronics Research Laboratory, University of California at Berkeley (April 2003).
-
(2003)
Technical Report
, vol.UCB-ERL M03-12
-
-
Weber, S.J.1
Moskewicz, M.W.2
Löw, M.3
Keutzer, K.4
-
31
-
-
84869657250
-
Architecture description language driven design space exploration in the presence of co-processors
-
P. Mishra, F. Rousseau, N. Dutt, A. Nicolau, Architecture description language driven design space exploration in the presence of co-processors, in: 10th Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI), 2001.
-
(2001)
10th Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)
-
-
Mishra, P.1
Rousseau, F.2
Dutt, N.3
Nicolau, A.4
-
32
-
-
0034785241
-
Functional abstraction driven design space exploration of heterogeneous programmable architectures
-
P. Mishra, N. Dutt, A. Nicolau, Functional abstraction driven design space exploration of heterogeneous programmable architectures, in: International Symposium on System Synthesis, 2001, pp. 256-261.
-
(2001)
International Symposium on System Synthesis
, pp. 256-261
-
-
Mishra, P.1
Dutt, N.2
Nicolau, A.3
-
33
-
-
84893597192
-
Expression: A language for architecture exploration through compiler/simulator retargetability
-
A. Halambi, P. Grun, V. Ganesh, A. Khare, N. Dutt, A. Nicolau, EXPRESSION: A language for architecture exploration through compiler/simulator retargetability, in: Design Automation and Test in Europe (DATE), 1999, pp. 485-490.
-
(1999)
Design Automation and Test in Europe (DATE)
, pp. 485-490
-
-
Halambi, A.1
Grun, P.2
Ganesh, V.3
Khare, A.4
Dutt, N.5
Nicolau, A.6
-
34
-
-
0032674031
-
LISA-machine description language for cycle-accurate models of programmable DSP architectures
-
S. Pees, A. Hoffmann, V. Zivojnovic, H. Meyr, LISA-machine description language for cycle-accurate models of programmable DSP architectures, in: 36th Design Automation Conference (DAC), 1999, pp. 933-938.
-
(1999)
36th Design Automation Conference (DAC)
, pp. 933-938
-
-
Pees, S.1
Hoffmann, A.2
Zivojnovic, V.3
Meyr, H.4
-
35
-
-
84922718399
-
Describing instruction set processors using nML
-
A. Fauth, J. Van Praet, M. Freericks, Describing instruction set processors using nML, in: European Design and Test Conference (ED&TC), 1995, pp. 503-507.
-
(1995)
European Design and Test Conference (ED&TC)
, pp. 503-507
-
-
Fauth, A.1
Van Praet, J.2
Freericks, M.3
-
36
-
-
0031683257
-
Retargetable code generation based on structural processor descriptions
-
R. Leupers, P. Marwedel, Retargetable code generation based on structural processor descriptions, Design Automation for Embedded Systems 3 (1) (1998) 1-36.
-
(1998)
Design Automation for Embedded Systems
, vol.3
, Issue.1
, pp. 1-36
-
-
Leupers, R.1
Marwedel, P.2
-
37
-
-
0034826999
-
Facile: A language and compiler for high-performance processor simulators
-
E. Schnarr, M.D. Hill, J.R. Larus, Facile: A language and compiler for high-performance processor simulators, in: ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), 2001, pp. 321-331.
-
(2001)
ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI)
, pp. 321-331
-
-
Schnarr, E.1
Hill, M.D.2
Larus, J.R.3
-
38
-
-
85056381767
-
Architecture description languages for retargetable compilation
-
Y.N. Srikant, P. Shankar (Eds.), CRC Press, Boca Raton
-
W. Qin, S. Malik, Architecture description languages for retargetable compilation, in: Y.N. Srikant, P. Shankar (Eds.), The Compiler Design Handbook: Optimizations & Machine Code Generation, CRC Press, Boca Raton, 2002.
-
(2002)
The Compiler Design Handbook: Optimizations & Machine Code Generation
-
-
Qin, W.1
Malik, S.2
-
39
-
-
0346812390
-
Architecture description languages for systems-on-chip design
-
H. Tomiyama, A. Halambi, P. Grun, N. Dutt, A. Nicolau, Architecture description languages for systems-on-chip design, in: 6th Asia Pacific Conference on Chip Design Language (APCHDL), 1999, pp. 109-116.
-
(1999)
6th Asia Pacific Conference on Chip Design Language (APCHDL)
, pp. 109-116
-
-
Tomiyama, H.1
Halambi, A.2
Grun, P.3
Dutt, N.4
Nicolau, A.5
-
40
-
-
0842264671
-
Generation of interpretive and compiled instruction set simulators
-
R. Leupers, J. Elste, B. Landwehr, Generation of interpretive and compiled instruction set simulators, in: Asia and South Pacific Design Automation Conference (ASP-DAC), Vol. 1, 1999, pp. 339-342.
-
(1999)
Asia and South Pacific Design Automation Conference (ASP-DAC)
, vol.1
, pp. 339-342
-
-
Leupers, R.1
Elste, J.2
Landwehr, B.3
-
41
-
-
0036054365
-
A universal technique for fast and flexible instruction-set architecture simulation
-
A. Nohl, G. Braun, O. Schliebusch, R. Leupers, H. Meyr, A. Hoffmann, A universal technique for fast and flexible instruction-set architecture simulation, in: Design Automation Conference (DAC), 2002, pp. 22-27.
-
(2002)
Design Automation Conference (DAC)
, pp. 22-27
-
-
Nohl, A.1
Braun, G.2
Schliebusch, O.3
Leupers, R.4
Meyr, H.5
Hoffmann, A.6
-
42
-
-
1142287758
-
An efficient retargetable framework for instruction-set simulation
-
M. Reshadi, N. Bansal, P. Mishra, N. Dutt, An efficient retargetable framework for instruction-set simulation, in: CODES/ISSS, 2003, pp. 13-18.
-
(2003)
CODES/ISSS
, pp. 13-18
-
-
Reshadi, M.1
Bansal, N.2
Mishra, P.3
Dutt, N.4
-
44
-
-
0035209108
-
A methodology for the design of application specific instruction set processors (ASIP) using the machine description language LISA
-
San Jose, CA
-
A. Hoffmann, O. Schliebusch, A. Nohl, G. Braun, H. Meyr, A methodology for the design of application specific instruction set processors (ASIP) using the machine description language LISA, in: International Conference on Computer Aided Design, (ICCAD), San Jose, CA, 2001, pp. 625-630.
-
(2001)
International Conference on Computer Aided Design, (ICCAD)
, pp. 625-630
-
-
Hoffmann, A.1
Schliebusch, O.2
Nohl, A.3
Braun, G.4
Meyr, H.5
-
45
-
-
0002421081
-
CHESS: Retargetable code generation for embedded DSP processors
-
P. Marwedel, G. Goossens (Eds.), SECS, Kluwer Academic Publishers, Dordrecht
-
D. Lanneer, J. Van Praet, A. Kifli, K. Schoofs, W. Geurts, F. Thoen, G. Goossens, CHESS: retargetable code generation for embedded DSP processors, in: P. Marwedel, G. Goossens (Eds.), Code Generation for Embedded Processors, Vol. 317 of SECS, Kluwer Academic Publishers, Dordrecht, 1995, pp. 85-102.
-
(1995)
Code Generation for Embedded Processors
, vol.317
, pp. 85-102
-
-
Lanneer, D.1
Van Praet, J.2
Kifli, A.3
Schoofs, K.4
Geurts, W.5
Thoen, F.6
Goossens, G.7
-
46
-
-
0343217803
-
Compilation techniques and tools for embedded processor architectures
-
J. Staunstrup, W. Wolf (Eds.), Kluwer Academic Publishers, Dordrecht
-
C. Liem, P. Paulin, Compilation techniques and tools for embedded processor architectures, in: J. Staunstrup, W. Wolf (Eds.), Hardware/Software Co-Design: Principles and Practise, Kluwer Academic Publishers, Dordrecht, 1997.
-
(1997)
Hardware/Software Co-design: Principles and Practise
-
-
Liem, C.1
Paulin, P.2
-
47
-
-
0031153459
-
Trace-driven memory simulation: A survey
-
R. Uhlig, T. Mudge, Trace-driven memory simulation: A survey, ACM: Computing Surveys 29 (2) (1997) 128-170.
-
(1997)
ACM: Computing Surveys
, vol.29
, Issue.2
, pp. 128-170
-
-
Uhlig, R.1
Mudge, T.2
-
48
-
-
0034821356
-
A design framework to efficiently explore energy-delay tradeoffs
-
W. Fornaciari, D. Sciuto, C. Silvano, V. Zaccaria, A design framework to efficiently explore energy-delay tradeoffs, in: Ninth International Symposium on Hardware/Software Codesign (CODES), 2001, pp. 260-265.
-
(2001)
Ninth International Symposium on Hardware/Software Codesign (CODES)
, pp. 260-265
-
-
Fornaciari, W.1
Sciuto, D.2
Silvano, C.3
Zaccaria, V.4
-
49
-
-
0036734998
-
A sensitivity-based design space exploration methodology for embedded systems
-
W. Fornaciari, D. Sciuto, C. Silvano, V. Zaccaria, A sensitivity-based design space exploration methodology for embedded systems, Design Automation for Embedded Systems 7 (1-2) (2002) 7-33.
-
(2002)
Design Automation for Embedded Systems
, vol.7
, Issue.1-2
, pp. 7-33
-
-
Fornaciari, W.1
Sciuto, D.2
Silvano, C.3
Zaccaria, V.4
-
50
-
-
0033354650
-
Interface and cache power exploration for core-based embedded system design
-
T. Givargis, J. Henkel, F. Vahid, Interface and cache power exploration for core-based embedded system design, in: International Conference on Computer-Aided Design (ICCAD), 1999, pp. 270-273.
-
(1999)
International Conference on Computer-aided Design (ICCAD)
, pp. 270-273
-
-
Givargis, T.1
Henkel, J.2
Vahid, F.3
-
51
-
-
0035368837
-
System-level performance analysis for designing on-chip communication architectures
-
K. Lahiri, A. Raghunathan, S. Dey, System-level performance analysis for designing on-chip communication architectures, IEEE Transactions on Computer aided Design of Integrated Circuits and Systems 20 (6) (2001) 768-783.
-
(2001)
IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
, vol.20
, Issue.6
, pp. 768-783
-
-
Lahiri, K.1
Raghunathan, A.2
Dey, S.3
-
52
-
-
0034474790
-
Efficient exploration of the SoC communication architecture design space
-
K. Lahiri, A. Raghunathan, S. Dey, Efficient exploration of the SoC communication architecture design space, in: IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2000, pp. 424-430.
-
(2000)
IEEE/ACM International Conference on Computer Aided Design (ICCAD)
, pp. 424-430
-
-
Lahiri, K.1
Raghunathan, A.2
Dey, S.3
-
53
-
-
0033886663
-
Performance analysis of systems with multi-channel communication architectures
-
K. Lahiri, A. Raghunathan, S. Dey, Performance analysis of systems with multi-channel communication architectures, in: Proceedings of 13th International Conference on VLSI Design, 2000, pp. 530-537.
-
(2000)
Proceedings of 13th International Conference on VLSI Design
, pp. 530-537
-
-
Lahiri, K.1
Raghunathan, A.2
Dey, S.3
-
54
-
-
84948968522
-
Design space exploration of streaming multiprocessor architectures
-
V. Zivkovic, E. Deprettere, P. van der Wolf, E. de Kock, Design space exploration of streaming multiprocessor architectures, in: IEEE Workshop on Signal Processing Systems (SIPS), 2002, pp. 228-234.
-
(2002)
IEEE Workshop on Signal Processing Systems (SIPS)
, pp. 228-234
-
-
Zivkovic, V.1
Deprettere, E.2
Van Der Wolf, P.3
De Kock, E.4
-
55
-
-
12244263231
-
A network processor performance and design model with benchmark parameterization
-
P. Crowley, M. Franklin, H. Hadimioglu, P. Onufryk (Eds.), Morgan Kaufmann Publishers, Los Altos, CA
-
M.A. Franklin, T. Wolf, A network processor performance and design model with benchmark parameterization, in: P. Crowley, M. Franklin, H. Hadimioglu, P. Onufryk (Eds.), Network Processor Design: Issues and Practices, Vol. 1, Morgan Kaufmann Publishers, Los Altos, CA, 2002, pp. 117-139.
-
(2002)
Network Processor Design: Issues and Practices
, vol.1
, pp. 117-139
-
-
Franklin, M.A.1
Wolf, T.2
-
56
-
-
9644261504
-
Power considerations in network processor design
-
M. Franklin, P. Crowley, H. Hadimioglu, P. Onufryk (Eds.), Morgan Kaufmann, Chapter 3
-
M.A. Franklin, T. Wolf, Power considerations in network processor design, in: M. Franklin, P. Crowley, H. Hadimioglu, P. Onufryk (Eds.), Network Processor Design: Issues and Practices, Vol. 2, Morgan Kaufmann, 2003 (Chapter 3).
-
(2003)
Network Processor Design: Issues and Practices
, vol.2
-
-
Franklin, M.A.1
Wolf, T.2
-
57
-
-
9644285467
-
Modeling a shared medium access node with QoS distinction
-
Computer Engineering and Networks Laboratory (TIK), ETH Zurich, Switzerland (April)
-
M. Gries, J. Greutert, Modeling a shared medium access node with QoS distinction, Technical Report 86, Computer Engineering and Networks Laboratory (TIK), ETH Zurich, Switzerland (April 2000).
-
(2000)
Technical Report 86
, vol.86
-
-
Gries, M.1
Greutert, J.2
-
58
-
-
0003657590
-
-
Addison-Wesley, Reading, MA
-
D.E. Knuth, The Art of Computer Programming, 3rd ed., Addison-Wesley, Reading, MA, 1997.
-
(1997)
The Art of Computer Programming, 3rd Ed.
-
-
Knuth, D.E.1
-
59
-
-
0038768779
-
-
Addison-Wesley, Reading, MA
-
R. Sedgewick, Algorithms in Java, Parts 1-4, 3rd ed., Addison-Wesley, Reading, MA, 2002.
-
(2002)
Algorithms in Java, Parts 1-4, 3rd Ed.
-
-
Sedgewick, R.1
-
60
-
-
22844455988
-
Performance estimation of embedded software with instruction cache modeling
-
Y.-T.S. Li, S. Malik, A. Wolfe, Performance estimation of embedded software with instruction cache modeling, ACM Transactions on Design Automation of Electronic Systems 4 (3) (1999) 257-279.
-
(1999)
ACM Transactions on Design Automation of Electronic Systems
, vol.4
, Issue.3
, pp. 257-279
-
-
Li, Y.-T.S.1
Malik, S.2
Wolfe, A.3
-
61
-
-
0033750056
-
Fast and precise WCET prediction by separate cache and path analyses
-
H. Theiling, C. Ferdinand, R. Wilhelm, Fast and precise WCET prediction by separate cache and path analyses, Real-Time Systems 18 (2-3) (2000) 157-179.
-
(2000)
Real-time Systems
, vol.18
, Issue.2-3
, pp. 157-179
-
-
Theiling, H.1
Ferdinand, C.2
Wilhelm, R.3
-
62
-
-
9644297773
-
Bottom-up performance analysis of HW/SW platforms
-
Design and Analysis of Distributed Embedded Systems, IFIP 17th World Computer Congress - TC10 Stream on Distributed and Parallel Embedded Systems (DIPES), Kluwer, Montréal Québec, Canada
-
K. Richter, D. Ziegenbein, M. Jersak, R. Ernst, Bottom-up performance analysis of HW/SW platforms, in: Design and Analysis of Distributed Embedded Systems, IFIP 17th World Computer Congress - TC10 Stream on Distributed and Parallel Embedded Systems (DIPES), vol. 219 of IFIP Conference Proceedings, Kluwer, Montréal Québec, Canada, 2002.
-
(2002)
IFIP Conference Proceedings
, vol.219
-
-
Richter, K.1
Ziegenbein, D.2
Jersak, M.3
Ernst, R.4
-
64
-
-
1642373027
-
Design space exploration of network processor architectures
-
P. Crowley, M. Franklin, H. Hadimioglu, P. Onufryk (Eds.), Morgan Kaufmann Publishers, Los Altos, CA
-
L. Thiele, S. Chakraborty, M. Gries, S. Künzli, Design space exploration of network processor architectures, in: P. Crowley, M. Franklin, H. Hadimioglu, P. Onufryk (Eds.), Network Processor Design: Issues and Practices, Vol. 1, Morgan Kaufmann Publishers, Los Altos, CA, 2002, pp. 55-89.
-
(2002)
Network Processor Design: Issues and Practices
, vol.1
, pp. 55-89
-
-
Thiele, L.1
Chakraborty, S.2
Gries, M.3
Künzli, S.4
-
65
-
-
84947274694
-
Embedded software in network processors - Models and algorithms
-
L. Thiele, S. Chakraborty, M. Gries, A. Maxiaguine, J. Greutert, Embedded software in network processors - models and algorithms, in: First Workshop on Embedded Software (EMSOFT), 2001, pp. 416-434.
-
(2001)
First Workshop on Embedded Software (EMSOFT)
, pp. 416-434
-
-
Thiele, L.1
Chakraborty, S.2
Gries, M.3
Maxiaguine, A.4
Greutert, J.5
-
66
-
-
33644538015
-
Exploring trade-offs in performance and programmability of processing element topologies for network processors
-
M. Franklin, P. Crowley, H. Hadimioglu, P. Onufryk (Eds.), Morgan Kaufmann, Los Altos, Chapter 7
-
M. Gries, C. Kulkarni, C. Sauer, K. Keutzer, Exploring trade-offs in performance and programmability of processing element topologies for network processors, in: M. Franklin, P. Crowley, H. Hadimioglu, P. Onufryk (Eds.), Network Processor Design: Issues and Practices, Vol. 2, Morgan Kaufmann, Los Altos, 2003, pp. 1-5 (Chapter 7).
-
(2003)
Network Processor Design: Issues and Practices
, vol.2
, pp. 1-5
-
-
Gries, M.1
Kulkarni, C.2
Sauer, C.3
Keutzer, K.4
-
67
-
-
1642320614
-
Comparing analytical modeling with simulation for network processors: A case study
-
Munich, Germany
-
M. Gries, C. Kulkarni, C. Sauer, K. Keutzer, Comparing analytical modeling with simulation for network processors: A case study, in: Design Automation and Test in Europe, (DATE), Munich, Germany, 2003, pp. 256-261.
-
(2003)
Design Automation and Test in Europe, (DATE)
, pp. 256-261
-
-
Gries, M.1
Kulkarni, C.2
Sauer, C.3
Keutzer, K.4
-
68
-
-
0037420692
-
Performance evaluation of network processor architectures: Combining simulation with analytical estimation
-
Elsevier Science
-
S. Chakraborty, S. Künzli, L. Thiele, A. Herkersdorf, P. Sagmeister, Performance evaluation of network processor architectures: combining simulation with analytical estimation, Computer Networks, Elsevier Science 41 (5) (2003) 641-665.
-
(2003)
Computer Networks
, vol.41
, Issue.5
, pp. 641-665
-
-
Chakraborty, S.1
Künzli, S.2
Thiele, L.3
Herkersdorf, A.4
Sagmeister, P.5
-
69
-
-
84893763875
-
A general framework for analysing system properties in platform-based embedded system design
-
Munich, Germany
-
S. Chakraborty, S. Künzli, L. Thiele, A general framework for analysing system properties in platform-based embedded system design, in: Design, Automation and Test in Europe (DATE), Munich, Germany, 2003, pp. 190-195.
-
(2003)
Design, Automation and Test in Europe (DATE)
, pp. 190-195
-
-
Chakraborty, S.1
Künzli, S.2
Thiele, L.3
-
70
-
-
3042654897
-
Context-aware performance analysis for efficient embedded system design
-
M. Jersak, R. Henia, R. Ernst, Context-aware performance analysis for efficient embedded system design, in: Design, Automation and Test in Europe (DATE), 2004, pp. 1046-1051.
-
(2004)
Design, Automation and Test in Europe (DATE)
, pp. 1046-1051
-
-
Jersak, M.1
Henia, R.2
Ernst, R.3
-
71
-
-
3042662164
-
Workload characterization model for tasks with variable execution demand
-
A. Maxiaguine, S. Künzli, L. Thiele, Workload characterization model for tasks with variable execution demand, in: Design, Automation and Test in Europe (DATE), 2004, pp. 1040-1045.
-
(2004)
Design, Automation and Test in Europe (DATE)
, pp. 1040-1045
-
-
Maxiaguine, A.1
Künzli, S.2
Thiele, L.3
-
73
-
-
0033361534
-
Efficiently searching the optimal design space
-
IEEE Comput. Soc
-
S. Blythe, R. Walker, Efficiently searching the optimal design space, in: Ninth Great Lakes Symposium on VLSI, IEEE Comput. Soc, 1999, pp. 192-195.
-
(1999)
Ninth Great Lakes Symposium on VLSI
, pp. 192-195
-
-
Blythe, S.1
Walker, R.2
-
77
-
-
0031704808
-
System-level synthesis using evolutionary algorithms
-
T. Blickle, J. Teich, L. Thiele, System-level synthesis using evolutionary algorithms, Design Automation for Embedded Systems 3 (1) (1998) 23-58.
-
(1998)
Design Automation for Embedded Systems
, vol.3
, Issue.1
, pp. 23-58
-
-
Blickle, T.1
Teich, J.2
Thiele, L.3
-
78
-
-
0034248162
-
An iterative algorithm for hardware-software partitioning, hardware design space exploration and scheduling
-
K. Chatha, R. Vemuri, An iterative algorithm for hardware-software partitioning, hardware design space exploration and scheduling, Design Automation for Embedded Systems 5 (3-4) (2000) 281-293.
-
(2000)
Design Automation for Embedded Systems
, vol.5
, Issue.3-4
, pp. 281-293
-
-
Chatha, K.1
Vemuri, R.2
-
79
-
-
0029184712
-
Integrated scheduling allocation and module selection for design-space exploration in high-level synthesis
-
I. Ahmad, M. Dhodhi, C. Chen, Integrated scheduling allocation and module selection for design-space exploration in high-level synthesis, IEE Proceedings - Computers and Digital Techniques 142 (1) (1995) 65-71.
-
(1995)
IEE Proceedings - Computers and Digital Techniques
, vol.142
, Issue.1
, pp. 65-71
-
-
Ahmad, I.1
Dhodhi, M.2
Chen, C.3
-
80
-
-
0026976119
-
Distributed design space exploration for high-level synthesis systems
-
R. Dutta, J. Roy, R. Vemuri, Distributed design space exploration for high-level synthesis systems, in: 29th Design Automation Conference (DAC), 1992, pp. 644-650.
-
(1992)
29th Design Automation Conference (DAC)
, pp. 644-650
-
-
Dutta, R.1
Roy, J.2
Vemuri, R.3
-
81
-
-
84893587603
-
MOCSYN: Multiobjective core-based single-chip system synthesis
-
R.P. Dick, N.K. Jha, MOCSYN: Multiobjective core-based single-chip system synthesis, in: Design, Automation and Test in Europe Conference (DATE), 1999, pp. 263-270.
-
(1999)
Design, Automation and Test in Europe Conference (DATE)
, pp. 263-270
-
-
Dick, R.P.1
Jha, N.K.2
-
84
-
-
0000454216
-
Multicriterion decision making
-
T. Bäck, D. Forgel, Z. Michalewicz (Eds.), Institute of Physics Publishing, Bristol UK
-
J. Horn, Multicriterion decision making, in: T. Bäck, D. Forgel, Z. Michalewicz (Eds.), Handbook of Evolutionary Computation, Institute of Physics Publishing, Bristol UK, 1997.
-
(1997)
Handbook of Evolutionary Computation
-
-
Horn, J.1
-
85
-
-
4043167148
-
System design for flexibility
-
C. Haubelt, J. Teich, K. Richter, R. Ernst, System design for flexibility, in: Design Automation and Test in Europe (DATE), 2002, pp. 854-861.
-
(2002)
Design Automation and Test in Europe (DATE)
, pp. 854-861
-
-
Haubelt, C.1
Teich, J.2
Richter, K.3
Ernst, R.4
-
86
-
-
0036045883
-
Metrics for design space exploration of heterogeneous multiprocessor embedded systems
-
D. Sciuto, F. Salice, L. Pomante, W. Fornaciari, Metrics for design space exploration of heterogeneous multiprocessor embedded systems, in: Tenth International Symposium on Hardware/Software Codesign (CODES), 2002, pp. 55-60.
-
(2002)
Tenth International Symposium on Hardware/Software Codesign (CODES)
, pp. 55-60
-
-
Sciuto, D.1
Salice, F.2
Pomante, L.3
Fornaciari, W.4
-
87
-
-
0030703936
-
Algorithm and architecture-level design space exploration using hierarchical data flows
-
H. Peixoto, M. Jacome, Algorithm and architecture-level design space exploration using hierarchical data flows, in: IEEE International Conference on Applications-Specific Systems, Architectures and Processors, 1997, pp. 272-282.
-
(1997)
IEEE International Conference on Applications-specific Systems, Architectures and Processors
, pp. 272-282
-
-
Peixoto, H.1
Jacome, M.2
-
88
-
-
0036049701
-
System-level performance optimization of the data queueing memory management in high-speed network processors
-
C. Ykman-Couvreur, J. Lambrecht, D. Verkest, F. Catthoor, A. Nikologiannis, G. Konstantoulakis, System-level performance optimization of the data queueing memory management in high-speed network processors, in: 39th Design Automation Conference (DAC), 2002, pp. 518-523.
-
(2002)
39th Design Automation Conference (DAC)
, pp. 518-523
-
-
Ykman-Couvreur, C.1
Lambrecht, J.2
Verkest, D.3
Catthoor, F.4
Nikologiannis, A.5
Konstantoulakis, G.6
-
89
-
-
0030411676
-
Toward a practical methodology for completely characterizing the optimal design space
-
S. Blythe, R. Walker, Toward a practical methodology for completely characterizing the optimal design space, in: Nineth International Symposium on System Synthesis, 1996, pp. 8-13.
-
(1996)
Nineth International Symposium on System Synthesis
, pp. 8-13
-
-
Blythe, S.1
Walker, R.2
-
90
-
-
0031098179
-
A solution methodology for exact design space exploration in a three-dimensional design space
-
S. Chaudhuri, S. Blythe, R. Walker, A solution methodology for exact design space exploration in a three-dimensional design space, IEEE Transactions on Very Large Scale Integration Systems (VLSI) 5 (1) (1997) 69-81.
-
(1997)
IEEE Transactions on Very Large Scale Integration Systems (VLSI)
, vol.5
, Issue.1
, pp. 69-81
-
-
Chaudhuri, S.1
Blythe, S.2
Walker, R.3
-
91
-
-
0034848814
-
CODEF: A system level design space exploration tool
-
M. Auguin, L. Capella, F. Cuesta, E. Gresset, CODEF: a system level design space exploration tool, in: 2001 IEEE International Conference on Acoustics, Speech, and Signal Processing, Vol. 2, 2001, pp. 1145-1148.
-
(2001)
2001 IEEE International Conference on Acoustics, Speech, and Signal Processing
, vol.2
, pp. 1145-1148
-
-
Auguin, M.1
Capella, L.2
Cuesta, F.3
Gresset, E.4
-
92
-
-
0003531270
-
Design space exploration in system level synthesis under memory constraints
-
R. Szymanek, K. Kuchcinski, Design space exploration in system level synthesis under memory constraints, in: 25th EUROMICRO Conference, Vol. 1, 1999, pp. 29-36.
-
(1999)
25th EUROMICRO Conference
, vol.1
, pp. 29-36
-
-
Szymanek, R.1
Kuchcinski, K.2
-
93
-
-
84893711551
-
Retargeting of compiled simulators for digital signal processors using a machine description language
-
S. Pees, A. Hoffmann, H. Meyr, Retargeting of compiled simulators for digital signal processors using a machine description language, in: Design Automation and Test in Europe Conference (DATE), 2000, pp. 669-673.
-
(2000)
Design Automation and Test in Europe Conference (DATE)
, pp. 669-673
-
-
Pees, S.1
Hoffmann, A.2
Meyr, H.3
-
94
-
-
0032630764
-
Power efficient mediaprocessors: Design space exploration
-
J. Kin, C. Lee, W. Mangione-Smith, M. Potkonjak, Power efficient mediaprocessors: design space exploration, in: 36th Design Automation Conference (DAC), 1999, pp. 321-326.
-
(1999)
36th Design Automation Conference (DAC)
, pp. 321-326
-
-
Kin, J.1
Lee, C.2
Mangione-Smith, W.3
Potkonjak, M.4
-
95
-
-
0033299117
-
TriMedia CPU64 design space exploration
-
G. Hekstra, G.L. Hei, P. Bingley, F. Sijstermans, TriMedia CPU64 design space exploration, in: 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1999, pp. 599-606.
-
(1999)
1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors
, pp. 599-606
-
-
Hekstra, G.1
Hei, G.L.2
Bingley, P.3
Sijstermans, F.4
-
96
-
-
0031643957
-
Design space exploration algorithm for heterogeneous multi-processor embedded system design
-
I. Karkowski, H. Corporaal, Design space exploration algorithm for heterogeneous multi-processor embedded system design, in: 35th Design and Automation Conference (DAC), 1998, pp. 82-87.
-
(1998)
35th Design and Automation Conference (DAC)
, pp. 82-87
-
-
Karkowski, I.1
Corporaal, H.2
-
97
-
-
0034853866
-
Statistical design space exploration for application-specific unit synthesis
-
D. Bruni, A.B.L.Benini. Statistical design space exploration for application-specific unit synthesis, in: 38th Design Automation Conference (DAC), 2001, pp. 641-646.
-
(2001)
38th Design Automation Conference (DAC)
, pp. 641-646
-
-
Bruni, D.1
Benini, A.B.L.2
-
98
-
-
84893806240
-
Hardware software partitioning with integrated hardware design space exploration
-
V. Srinivasan, S. Radhakrishnan, R. Vemuri, Hardware software partitioning with integrated hardware design space exploration, in: Design, Automation and Test in Europe (DATE), 1998, pp. 28-35.
-
(1998)
Design, Automation and Test in Europe (DATE)
, pp. 28-35
-
-
Srinivasan, V.1
Radhakrishnan, S.2
Vemuri, R.3
-
99
-
-
0031643947
-
System-level exploration with SpecSyn
-
D. Gajski, F. Vahid, S. Narayan, J. Gong, System-level exploration with SpecSyn, in: 35th Design and Automation Conference (DAC), 1998, pp. 812-817.
-
(1998)
35th Design and Automation Conference (DAC)
, pp. 812-817
-
-
Gajski, D.1
Vahid, F.2
Narayan, S.3
Gong, J.4
-
100
-
-
0004215426
-
-
Kluwer Academic Publishers, Dordrecht
-
F. Glover, M. Laguna, Tabu Search, Kluwer Academic Publishers, Dordrecht, 1997.
-
(1997)
Tabu Search
-
-
Glover, F.1
Laguna, M.2
-
101
-
-
84889036423
-
Evaluation of design space exploration strategies
-
F. Moya, J. Moya, J. Lopez, Evaluation of design space exploration strategies, in: 25th EUROMICRO Conference, Vol. 1, 1999, pp. 472-476.
-
(1999)
25th EUROMICRO Conference
, vol.1
, pp. 472-476
-
-
Moya, F.1
Moya, J.2
Lopez, J.3
-
102
-
-
0030697743
-
Architecture synthesis and partitioning of real-time systems: A comparison of three heuristic search strategies
-
J. Axelsson, Architecture synthesis and partitioning of real-time systems: a comparison of three heuristic search strategies, in: 5th International Workshop on Hardware/Software Codesign (CODES/CASHE), 1997, pp. 161-165.
-
(1997)
5th International Workshop on Hardware/Software Codesign (CODES/CASHE)
, pp. 161-165
-
-
Axelsson, J.1
-
103
-
-
0004157862
-
Spacewalker: Automated design space exploration for embedded computer systems
-
HPL-2001-220, HP Laboratories Palo Alto (September)
-
G. Snider, Spacewalker: automated design space exploration for embedded computer systems, HPL-2001-220, Technical Report, HP Laboratories Palo Alto (September 2001).
-
(2001)
Technical Report
-
-
Snider, G.1
-
105
-
-
0036286904
-
Design space exploration methodologies for IP-based system-on-a-chip
-
G. Ascia, V. Catania, M. Palesi, Design space exploration methodologies for IP-based system-on-a-chip, in: IEEE International Symposium on Circuits and Systems, Vol. 2, 2002, pp. 364-367.
-
(2002)
IEEE International Symposium on Circuits and Systems
, vol.2
, pp. 364-367
-
-
Ascia, G.1
Catania, V.2
Palesi, M.3
-
106
-
-
34249996011
-
A framework for design space exploration of parameterized VLSI systems
-
G. Ascia, V. Catania, M. Palesi, A framework for design space exploration of parameterized VLSI systems, in: ASP-DAC/VLSI Design 2002, 2002, pp. 245-250.
-
(2002)
ASP-DAC/VLSI Design 2002
, pp. 245-250
-
-
Ascia, G.1
Catania, V.2
Palesi, M.3
-
107
-
-
1142299884
-
A multiobjective optimization model for exploring multiprocessor mappings of process networks
-
C. Erbas, S.C. Erbas, A.D. Pimentel, A multiobjective optimization model for exploring multiprocessor mappings of process networks, in: CODES/ISSS, 2003, pp. 182-187.
-
(2003)
CODES/ISSS
, pp. 182-187
-
-
Erbas, C.1
Erbas, S.C.2
Pimentel, A.D.3
-
108
-
-
0036715136
-
PICO: Automatically designing custom computers
-
V. Kathail, S. Aditya, R. Schreiber, B.R. Rau, D. Cronquist, M. Sivaraman, PICO: automatically designing custom computers, IEEE Computer 35 (9) (2002) 39-47.
-
(2002)
IEEE Computer
, vol.35
, Issue.9
, pp. 39-47
-
-
Kathail, V.1
Aditya, S.2
Schreiber, R.3
Rau, B.R.4
Cronquist, D.5
Sivaraman, M.6
-
109
-
-
0034785211
-
Systematic speed-power memory data-layout exploration for cache controlled embedded multimedia applications
-
M. Miranda, C. Ghez, C. Kulkarni, F. Catthoor, D. Verkest, Systematic speed-power memory data-layout exploration for cache controlled embedded multimedia applications, in: International Symposium on System Synthesis, 2001, pp. 107-112.
-
(2001)
International Symposium on System Synthesis
, pp. 107-112
-
-
Miranda, M.1
Ghez, C.2
Kulkarni, C.3
Catthoor, F.4
Verkest, D.5
-
110
-
-
0032684185
-
System level energy-delay exploration for multimedia applications on embedded cores with hardware caches
-
C. Kulkarni, D. Moolenaar, L. Nachtergaele, F. Catthoor, H. De Man, System level energy-delay exploration for multimedia applications on embedded cores with hardware caches, Kluwer Journal of VLSI Signal Processing 22 (1) (1999) 45-57.
-
(1999)
Kluwer Journal of VLSI Signal Processing
, vol.22
, Issue.1
, pp. 45-57
-
-
Kulkarni, C.1
Moolenaar, D.2
Nachtergaele, L.3
Catthoor, F.4
De Man, H.5
-
111
-
-
0036705159
-
System-level exploration for Pareto-optimal configurations in parameterized system-on-a-chip
-
T. Givargis, F. Vahid, J. Henkel, System-level exploration for Pareto-optimal configurations in parameterized system-on-a-chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems 10 (4) (2002) 416-422.
-
(2002)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.10
, Issue.4
, pp. 416-422
-
-
Givargis, T.1
Vahid, F.2
Henkel, J.3
-
112
-
-
0034780532
-
A hierarchical simulation framework for application development on system-on-chip architectures
-
V. Mathur, V. Prasanna, A hierarchical simulation framework for application development on system-on-chip architectures, in: 14th Annual IEEE International ASIC/SOC Conference, 2001, pp. 428-434.
-
(2001)
14th Annual IEEE International ASIC/SOC Conference
, pp. 428-434
-
-
Mathur, V.1
Prasanna, V.2
-
113
-
-
0033684038
-
A. Sangiovanni-vincentelli, efficient methods for embedded system design space exploration
-
H. Hsieh, F. Balarim, L. Lavagno, A. Sangiovanni-Vincentelli, Efficient methods for embedded system design space exploration, in: 37th Design Automation Conference (DAC), 2000, pp. 607-612.
-
(2000)
37th Design Automation Conference (DAC)
, pp. 607-612
-
-
Hsieh, H.1
Balarim, F.2
Lavagno, L.3
-
115
-
-
84962230144
-
Automatic model refinement for fast architecture exploration
-
J. Peng, S.A.D. Gajski, Automatic model refinement for fast architecture exploration, in: ASP-DAC/VLSI Design 2002, 2002, pp. 332-337.
-
(2002)
ASP-DAC/VLSI Design 2002
, pp. 332-337
-
-
Peng, J.1
Gajski, S.A.D.2
-
116
-
-
0034821822
-
A trace transformation technique for communication refinement
-
P. Lieverse, P. van der Wolf, E. Deprettere, A trace transformation technique for communication refinement, in: Ninth International Symposium on Hardware/Software Codesign (CODES), 2001, pp. 134-139.
-
(2001)
Ninth International Symposium on Hardware/Software Codesign (CODES)
, pp. 134-139
-
-
Lieverse, P.1
Van Der Wolf, P.2
Deprettere, E.3
-
117
-
-
84962142451
-
Performance analysis through synthetic trace generation
-
L. Eeckhout, K., de Bosschere, H. Neefs, Performance analysis through synthetic trace generation, in: IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2000, pp. 1-6.
-
(2000)
IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 1-6
-
-
Eeckhout, L.1
De Bosschere, K.2
Neefs, H.3
-
118
-
-
0034826750
-
A generic wrapper architecture for multi-processor SoC cosimulation and design
-
S. Yoo, G. Nicolescu, D. Lyonnard, A. Baghdadi, A. Jerraya, A generic wrapper architecture for multi-processor SoC cosimulation and design, in: 9th International Symposium on Hardware/Software Codesign (CODES), 2001, pp. 195-200.
-
(2001)
9th International Symposium on Hardware/Software Codesign (CODES)
, pp. 195-200
-
-
Yoo, S.1
Nicolescu, G.2
Lyonnard, D.3
Baghdadi, A.4
Jerraya, A.5
-
119
-
-
0034846659
-
Addressing the system-on-a-chip interconnect woes through communication-based design
-
M. Sgroi, M. Sheets, A. Mihal, K. Keutzer, S. Malik, J. Rabaey, A. Sangiovanni-Vincentelli, Addressing the system-on-a-chip interconnect woes through communication-based design, in: Design Automation Conference (DAC), 2001, pp. 667-672.
-
(2001)
Design Automation Conference (DAC)
, pp. 667-672
-
-
Sgroi, M.1
Sheets, M.2
Mihal, A.3
Keutzer, K.4
Malik, S.5
Rabaey, J.6
Sangiovanni-Vincentelli, A.7
-
122
-
-
0031622883
-
Automatic synthesis of interfaces between incompatible protocols
-
R. Passerone, J.A. Rowson, A. Sangiovanni-Vincentelli, Automatic synthesis of interfaces between incompatible protocols, in: Design Automation Conference (DAC), 1998, pp. 8-13.
-
(1998)
Design Automation Conference (DAC)
, pp. 8-13
-
-
Passerone, R.1
Rowson, J.A.2
Sangiovanni-Vincentelli, A.3
-
124
-
-
0036058082
-
Model composition for scheduling analysis in platform design
-
K. Richter, D. Ziegenbein, M. Jersak, R. Ernst, Model composition for scheduling analysis in platform design, in: Design Automation Conference (DAC), 2002, pp. 287-292.
-
(2002)
Design Automation Conference (DAC)
, pp. 287-292
-
-
Richter, K.1
Ziegenbein, D.2
Jersak, M.3
Ernst, R.4
-
125
-
-
4544366066
-
Operating system sensitive device driver synthesis from implementation independent protocol specification
-
M. O'Nils, A. Jantsch, Operating system sensitive device driver synthesis from implementation independent protocol specification, in: Design Automation and Test in Europe (DATE), 1999, pp. 562-567.
-
(1999)
Design Automation and Test in Europe (DATE)
, pp. 562-567
-
-
O'Nils, M.1
Jantsch, A.2
-
126
-
-
9644292642
-
Modeling and integration of peripheral devices in embedded systems
-
S. Wang, S. Malik, R. Bergamaschi, Modeling and integration of peripheral devices in embedded systems, in: Design, Automation and Test in Europe (DATE), 2003, pp. 136-141.
-
(2003)
Design, Automation and Test in Europe (DATE)
, pp. 136-141
-
-
Wang, S.1
Malik, S.2
Bergamaschi, R.3
-
127
-
-
84893636610
-
Automatic generation and targeting of application specific operating systems and embedded systems software
-
L. Gauthier, S. Yoo, A. Jerraya, Automatic generation and targeting of application specific operating systems and embedded systems software, in: Design, Automation and Test in Europe (DATE), 2001, pp. 679-685.
-
(2001)
Design, Automation and Test in Europe (DATE)
, pp. 679-685
-
-
Gauthier, L.1
Yoo, S.2
Jerraya, A.3
-
128
-
-
1642276390
-
Automatic generation of a real-time operating system for embedded systems
-
F. Balarin, M. Chiodo, A. Jurecska, L. Lavagno, B. Tabbara, A. Sangiovanni-Vincentelli, Automatic generation of a real-time operating system for embedded systems, in: 5th International Workshop on Hardware/Software Co-Design (Codes/CASHE), 1997.
-
(1997)
5th International Workshop on Hardware/Software Co-design (Codes/CASHE)
-
-
Balarin, F.1
Chiodo, M.2
Jurecska, A.3
Lavagno, L.4
Tabbara, B.5
Sangiovanni-Vincentelli, A.6
-
129
-
-
0032690072
-
A framework for user assisted design space exploration
-
X. Hu, G. Greenwood, S. Ravichandran, G. Quan, A framework for user assisted design space exploration, in: 36th Design Automation Conference (DAC), 1999, pp. 414-419.
-
(1999)
36th Design Automation Conference (DAC)
, pp. 414-419
-
-
Hu, X.1
Greenwood, G.2
Ravichandran, S.3
Quan, G.4
-
130
-
-
0036044485
-
A framework for evaluating design tradeoffs in packet processing architectures
-
New Orleans, LA, USA
-
L. Thiele, S. Chakraborty, M. Gries, S. Künzli, A framework for evaluating design tradeoffs in packet processing architectures, in: 39th Design Automation Conference (DAC), New Orleans, LA, USA, 2002, pp. 880-885.
-
(2002)
39th Design Automation Conference (DAC)
, pp. 880-885
-
-
Thiele, L.1
Chakraborty, S.2
Gries, M.3
Künzli, S.4
-
131
-
-
84983179859
-
Microarchitectural exploration with Liberty
-
M. Vachharajani, N. Vachharajani, D.A. Penry, J.A. Blome, D.I. August, Microarchitectural exploration with Liberty, in: 35th International Symposium on Microarchitecture (MICRO), 2002, pp. 271-282.
-
(2002)
35th International Symposium on Microarchitecture (MICRO)
, pp. 271-282
-
-
Vachharajani, M.1
Vachharajani, N.2
Penry, D.A.3
Blome, J.A.4
August, D.I.5
-
132
-
-
0000087207
-
The semantics of a simple language for parallel programming
-
North-Holland Publishing Co., Amsterdam
-
G. Kahn, The semantics of a simple language for parallel programming, in: Proceedings of the IFIP Congress, North-Holland Publishing Co., Amsterdam, 1974, pp. 471-475.
-
(1974)
Proceedings of the IFIP Congress
, pp. 471-475
-
-
Kahn, G.1
-
133
-
-
0033682583
-
YAPI: Application modeling for signal processing systems
-
E.A. de Kock, G. Essink, W.J.M. Smits, P. van der Wolf, J.-Y. Brunel, W.M. Kruijtzer, P. Lieverse, K.A. Vissers, YAPI: Application modeling for signal processing systems, in: 37th Design Automation Conference (DAC), 2000, pp. 402-405.
-
(2000)
37th Design Automation Conference (DAC)
, pp. 402-405
-
-
De Kock, E.A.1
Essink, G.2
Smits, W.J.M.3
Van Der Wolf, P.4
Brunel, J.-Y.5
Kruijtzer, W.M.6
Lieverse, P.7
Vissers, K.A.8
-
134
-
-
0036846473
-
Compilation from Matlab to process networks realized in FPGA
-
T. Harriss, R. Walke, B. Kienhuis, E. Deprettere, Compilation from Matlab to process networks realized in FPGA, Design Automation for Embedded Systems 7 (4) (2002) 385-403.
-
(2002)
Design Automation for Embedded Systems
, vol.7
, Issue.4
, pp. 385-403
-
-
Harriss, T.1
Walke, R.2
Kienhuis, B.3
Deprettere, E.4
-
135
-
-
0036999441
-
A compiler generation method for HW/SW codesign based on configurable processors
-
S. Kobayashi, K. Mita, Y. Takeuchi, M. Imai, A compiler generation method for HW/SW codesign based on configurable processors, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E 85-A (12) (2002) 2586-2595.
-
(2002)
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E
, vol.85 A
, Issue.12
, pp. 2586-2595
-
-
Kobayashi, S.1
Mita, K.2
Takeuchi, Y.3
Imai, M.4
-
136
-
-
0040291388
-
The Click modular router
-
E. Kohler, R. Morris, B. Chen, J. Jannotti, M.F. Kaashoek, The Click modular router, ACM Transactions on Computer Systems 18 (3) (2000) 263-297.
-
(2000)
ACM Transactions on Computer Systems
, vol.18
, Issue.3
, pp. 263-297
-
-
Kohler, E.1
Morris, R.2
Chen, B.3
Jannotti, J.4
Kaashoek, M.F.5
-
137
-
-
0344951184
-
Metropolis: An integrated electronic system design environment
-
F. Balarin, Y. Watanabe, H. Hsieh, L. Lavagno, C. Paserone, A. Sangiovanni-Vincentelli, Metropolis: an integrated electronic system design environment, IEEE Computer 36 (4) (2003) 45-52.
-
(2003)
IEEE Computer
, vol.36
, Issue.4
, pp. 45-52
-
-
Balarin, F.1
Watanabe, Y.2
Hsieh, H.3
Lavagno, L.4
Paserone, C.5
Sangiovanni-Vincentelli, A.6
-
138
-
-
9644285265
-
NP-Click: A programming model for the Intel IXP1200
-
M. Franklin, P. Crowley, H. Hadimioglu, P. Onufryk (Eds.), Morgan Kaufmann, Los Altos, (Chapter 9)
-
N. Shah, W. Plishker, K. Keutzer, NP-Click: A programming model for the Intel IXP1200, in: M. Franklin, P. Crowley, H. Hadimioglu, P. Onufryk (Eds.), Network Processor Design: Issues and Practices, Vol. 2, Morgan Kaufmann, Los Altos, 2003, pp. 1-10 (Chapter 9).
-
(2003)
Network Processor Design: Issues and Practices
, vol.2
, pp. 1-10
-
-
Shah, N.1
Plishker, W.2
Keutzer, K.3
-
139
-
-
9644292678
-
Introduction to the auto-partitioning programming model
-
October
-
Intel Corp., Introduction to the auto-partitioning programming model, White Paper (October 2003).
-
(2003)
White Paper
-
-
-
140
-
-
0029210375
-
Software synthesis for DSP using Ptolemy
-
J.L. Pino, S. Ha, E.A. Lee, J.T. Buck, Software synthesis for DSP using Ptolemy, VLSI Signal Processing 9 (1) (1995) 7-21.
-
(1995)
VLSI Signal Processing
, vol.9
, Issue.1
, pp. 7-21
-
-
Pino, J.L.1
Ha, S.2
Lee, E.A.3
Buck, J.T.4
-
141
-
-
0041562664
-
Programmable stream processors
-
U.J. Kapasi, S. Rixner, W.J. Dally, B. Khailany, J.H. Ahn, P. Mattson, J.D. Owens, Programmable stream processors, IEEE Computer 36 (8) (2003) 54-62.
-
(2003)
IEEE Computer
, vol.36
, Issue.8
, pp. 54-62
-
-
Kapasi, U.J.1
Rixner, S.2
Dally, W.J.3
Khailany, B.4
Ahn, J.H.5
Mattson, P.6
Owens, J.D.7
-
142
-
-
0029489195
-
A taxonomy of programming models for symmetric multiprocessors and SMP clusters
-
W. Gropp, E. Lusk, A taxonomy of programming models for symmetric multiprocessors and SMP clusters, in: Programming Models for Massively Parallel Computers, 1995, pp. 2-7.
-
(1995)
Programming Models for Massively Parallel Computers
, pp. 2-7
-
-
Gropp, W.1
Lusk, E.2
-
143
-
-
16244371258
-
Programmer's views of SoCs
-
J.M. Paul, Programmer's views of SoCs, in: CODES/ISSS, 2003, pp. 159-161.
-
(2003)
CODES/ISSS
, pp. 159-161
-
-
Paul, J.M.1
-
144
-
-
84949184377
-
An overview of methodologies and tools in the field of system-level design
-
E.F. Deprettere, J. Teich, S. Vassiliadis (Eds.), Embedded Processor Design Challenges: Second International Samos Workshop on Systems, Architectures, Modeling, and Simulation (SAMOS), Springer Verlag, Berlin
-
V.D. Zivkovic, P. Lieverse, An overview of methodologies and tools in the field of system-level design, in: E.F. Deprettere, J. Teich, S. Vassiliadis (Eds.), Embedded Processor Design Challenges: Second International Samos Workshop on Systems, Architectures, Modeling, and Simulation (SAMOS), Lecture Notes in Computer Science, Vol. 2268, Springer Verlag, Berlin, 2002, pp. 74-88.
-
(2002)
Lecture Notes in Computer Science
, vol.2268
, pp. 74-88
-
-
Zivkovic, V.D.1
Lieverse, P.2
-
145
-
-
9644292565
-
A. Pimentel, Utilizing synthesis methods in accurate system-level exploration of heterogeneous embedded systems
-
C. Erbas, A. Pimentel, Utilizing synthesis methods in accurate system-level exploration of heterogeneous embedded systems, in: IEEE Workshop on Signal Processing Systems (SIPS), 2003, pp. 310-315.
-
(2003)
IEEE Workshop on Signal Processing Systems (SIPS)
, pp. 310-315
-
-
Erbas, C.1
-
146
-
-
0345855761
-
Layered, multi-threaded, high-level performance design
-
A. Cassidy, J. Paul, D. Thomas, Layered, multi-threaded, high-level performance design, in: Design, Automation and Test in Europe (DATE), 2003, pp. 954-959.
-
(2003)
Design, Automation and Test in Europe (DATE)
, pp. 954-959
-
-
Cassidy, A.1
Paul, J.2
Thomas, D.3
-
147
-
-
1142299878
-
SEAS: A system for early analysis of SoCs
-
R.A. Bergamaschi, Y. Shin, N. Dhanwada, S. Bhattacharya, W. Dougherty, I. Nair, J. Darringer, S. Paliwal, SEAS: A system for early analysis of SoCs, in: CODES/ISSS, 2003, pp. 150-155.
-
(2003)
CODES/ISSS
, pp. 150-155
-
-
Bergamaschi, R.A.1
Shin, Y.2
Dhanwada, N.3
Bhattacharya, S.4
Dougherty, W.5
Nair, I.6
Darringer, J.7
Paliwal, S.8
-
148
-
-
0032630848
-
Methodology and technology for virtual component driven hardware/software co-design on the system-level
-
S. Krolikoski, F. Schirrmeister, B. Salefski, J. Rowson, G. Martin, Methodology and technology for virtual component driven hardware/software co-design on the system-level, in: IEEE International Symposium on Circuits and Systems (ISCAS), Vol. 6, 1999, pp. 456-459.
-
(1999)
IEEE International Symposium on Circuits and Systems (ISCAS)
, vol.6
, pp. 456-459
-
-
Krolikoski, S.1
Schirrmeister, F.2
Salefski, B.3
Rowson, J.4
Martin, G.5
-
149
-
-
84962236197
-
Architecture implementation using the machine description language LISA
-
O. Schliebusch, A. Hoffmann, A. Nohl, G. Braun, H. Meyr, Architecture implementation using the machine description language LISA, in: 15th International Conference on VLSI Design, 2002, pp. 239-244.
-
(2002)
15th International Conference on VLSI Design
, pp. 239-244
-
-
Schliebusch, O.1
Hoffmann, A.2
Nohl, A.3
Braun, G.4
Meyr, H.5
-
150
-
-
0037936618
-
Performance assessment of multiobjective optimizers: An analysis and review
-
E. Zitzler, L. Thiele, M. Laumanns, C.M. Fonseca, V.G. da Fonseca, Performance assessment of multiobjective optimizers: an analysis and review, IEEE Transactions on Evolutionary Computation 7 (2) (2003) 117-132.
-
(2003)
IEEE Transactions on Evolutionary Computation
, vol.7
, Issue.2
, pp. 117-132
-
-
Zitzler, E.1
Thiele, L.2
Laumanns, M.3
Fonseca, C.M.4
Da Fonseca, V.G.5
|