-
1
-
-
84872902886
-
Fast code generation for embedded processors with aliased heterogeneous registers
-
AHN, M. AND PAEK, Y. 2009. Fast code generation for embedded processors with aliased heterogeneous registers. Trans. high Perform. Embed. Archit. Compilers. 149-172.
-
(2009)
Trans. High Perform. Embed. Archit. Compilers
, pp. 149-172
-
-
Ahn, M.1
Paek, Y.2
-
2
-
-
33744486070
-
Balancing performance and reliability in the memory hierarchy
-
ASADI, G., SRIDHARAN, V., TAHOORI, M., ANDKAELI, D. 2005. Balancing performance and reliability in the memory hierarchy. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'05). 269-279.
-
(2005)
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'05)
, pp. 269-279
-
-
Asadi, G.1
Sridharan, V.2
Tahoori, M.3
Andkaeli, D.4
-
4
-
-
21244491597
-
Soft errors in advanced computer systems
-
BAUMANN, R. 2005. Soft errors in advanced computer systems. IEEE Des. Test Comput. 22, 3, 258-266.
-
(2005)
IEEE Des. Test Comput.
, vol.22
, Issue.3
, pp. 258-266
-
-
Baumann, R.1
-
5
-
-
0742324995
-
A software methodology for detecting hardware faults in vliw data paths
-
BOLCHINI, C. 2003. A software methodology for detecting hardware faults in vliw data paths. IEEE Trans. Reliabil. 52, 4, 458-468.
-
(2003)
IEEE Trans. Reliabil.
, vol.52
, Issue.4
, pp. 458-468
-
-
Bolchini, C.1
-
8
-
-
0034450511
-
Impact of cmos technology scaling on the atmospheric neutron soft error rate
-
HAZUCHA, P. AND SVENSSON, C. 2000. Impact of cmos technology scaling on the atmospheric neutron soft error rate. IEEE Trans. Nucl. Sci. 47, 6, 2586-2594.
-
(2000)
IEEE Trans. Nucl. Sci.
, vol.47
, Issue.6
, pp. 2586-2594
-
-
Hazucha, P.1
Svensson, C.2
-
10
-
-
33646944390
-
Compiler-Directed instruction duplication for soft error detection
-
HU, J., LI, F., DEGALAHAL, V., KANDEMIR, M., VIJAYKRISHNAN, N., AND IRWIN, M. 2005. Compiler-Directed instruction duplication for soft error detection. In Proceedings of the Conference on Design, Automation and Test in Europe. 1056-1057.
-
(2005)
Proceedings of the Conference on Design, Automation and Test in Europe
, pp. 1056-1057
-
-
U, J.H.1
I, F.L.2
Degalahal, V.3
Kandemir, M.4
Vijaykrishnan, N.5
Irwin, M.6
-
11
-
-
69149111260
-
Compiler-Assisted soft error detection under performance and energy constraints in embedded systems
-
HU, J., LI, F., DEGALAHAL, V., KANDEMIR, M., VIJAYKRISHNAN, N., AND IRWIN, M. 2009. Compiler-Assisted soft error detection under performance and energy constraints in embedded systems. ACM Trans. Embed. Comput. Syst. 8, 4, 27.
-
(2009)
ACM Trans. Embed. Comput. Syst.
, vol.8
, Issue.4
, pp. 27
-
-
U, J.H.1
I, F.L.2
Degalahal, V.3
Kandemir, M.4
Vijaykrishnan, N.5
Irwin, M.6
-
13
-
-
84866846091
-
Dynamic operands insertion for vliw architecture with a reduced bit-width instruction set
-
LEE, J., YOUN, J., LEE, J., AHN, M., AND PAEK, Y. 2012. Dynamic operands insertion for vliw architecture with a reduced bit-width instruction set. In Proceedings of the 26th International IEEE Parallel Distributed Processing Symposium (IPDPS'12). 119-130.
-
(2012)
Proceedings of the 26th International IEEE Parallel Distributed Processing Symposium (IPDPS'12)
, pp. 119-130
-
-
Lee, J.1
Youn, J.2
Lee, J.3
Ahn, M.4
Paek, Y.5
-
14
-
-
34547230662
-
Mitigating soft error failures for multimedia applications by selective data protection
-
ACM Press, New York
-
LEE, K., SHRIVASTAVA, A., ISSENIN, I., DUTT, N., AND VENKATASUBRAMANIAN, N. 2006. Mitigating soft error failures for multimedia applications by selective data protection. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems. ACM Press, New York, 411-420.
-
(2006)
Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems
, pp. 411-420
-
-
Lee, K.1
Shrivastava, A.2
Issenin, I.3
Dutt, N.4
Venkatasubramanian, N.5
-
15
-
-
69649088001
-
Partially protected caches to reduce failures due to soft errors in multimedia applications
-
LEE, K., SHRIVASTAVA, A., ISSENIN, I., DUTT, N., AND VENKATASUBRAMANIAN, N. 2009. Partially protected caches to reduce failures due to soft errors in multimedia applications. IEEE Trans. VLSI Syst. 17, 9, 1343-1347.
-
(2009)
IEEE Trans. VLSI Syst.
, vol.17
, Issue.9
, pp. 1343-1347
-
-
Lee, K.1
Shrivastava, A.2
Issenin, I.3
Dutt, N.4
Venkatasubramanian, N.5
-
16
-
-
76749146060
-
Mcpat: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
IEEE
-
LI, S., AHN, J., STRONG, R., BROCKMAN, J., TULLSEN, D., AND JOUPPI, N. 2009. Mcpat: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'09). IEEE, 469-480.
-
(2009)
Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'09)
, pp. 469-480
-
-
I, S.L.1
Ahn, J.2
Strong, R.3
Brockman, J.4
Tullsen, D.5
Jouppi, N.6
-
19
-
-
41349091201
-
Argus: Low-Cost, comprehensive error detection in simple cores
-
IEEE
-
MIEXNER, A., BAUER, M., AND SORIN, D. 2007. Argus: Low-Cost, comprehensive error detection in simple cores. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'07). IEEE, 210-222.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'07)
, pp. 210-222
-
-
Miexner, A.1
Bauer, M.2
Sorin, D.3
-
21
-
-
15044363155
-
Robust system design with built-in soft-error resilience
-
MITRA, S., SEIFERT, N., ZHANG, M., SHI, Q., AND KIM, K. 2005. Robust system design with built-in soft-error resilience. Comput. 38, 2, 43-52.
-
(2005)
Comput.
, vol.38
, Issue.2
, pp. 43-52
-
-
Mitra, S.1
Seifert, N.2
Zhang, M.3
Shi, Q.4
Kim, K.5
-
24
-
-
28444483117
-
The soft error problem: An architectural perspective
-
IEEE
-
MUKHERJEE, S., EMER, J., AND REINHARDT, S. 2005. The soft error problem: An architectural perspective. In Proceedings of the 11th International Symposium on High Performance Computer Architecture (HPCA'05). IEEE, 243-247.
-
(2005)
Proceedings of the 11th International Symposium on High Performance Computer Architecture (HPCA'05)
, pp. 243-247
-
-
Mukherjee, S.1
Emer, J.2
Reinhardt, S.3
-
25
-
-
84944403418
-
A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor
-
IEEE
-
MUKHERJEE, S., WEAVER, C., EMER, J., REINHARDT, S., AND AUSTIN, T. 2003. A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor. In Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'03). IEEE, 29-40.
-
(2003)
Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'03)
, pp. 29-40
-
-
Mukherjee, S.1
Weaver, C.2
Emer, J.3
Reinhardt, S.4
Austin, T.5
-
27
-
-
34547460101
-
Understanding prediction-based partial redundant threading for low-overhead, high-coverage fault tolerance
-
REDDY, V., ROTENBERG, E., AND PARTHASARATHY, S. 2006. Understanding prediction-based partial redundant threading for low-overhead, high-coverage fault tolerance. SIGOPS Oper. Syst. Rev. 40, 83-94.
-
(2006)
SIGOPS Oper. Syst. Rev.
, vol.40
, pp. 83-94
-
-
Reddy, V.1
Rotenberg, E.2
Parthasarathy, S.3
-
28
-
-
81355132234
-
Reliable software for unreliable hardware: Embedded code generation aiming at reliability
-
REHMAN, S., SHAFIQUE, M., KRIEBEL, F., AND HENKEL, J. 2011. Reliable software for unreliable hardware: Embedded code generation aiming at reliability. In Proceedings of the 7th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. 237-246.
-
(2011)
Proceedings of the 7th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis
, pp. 237-246
-
-
Rehman, S.1
Shafique, M.2
Kriebel, F.3
Henkel, J.4
-
29
-
-
0033726332
-
Transient fault detection via simultaneous multithreading
-
REINHARDT, S. AND MUKHERJEE, S. 2000. Transient fault detection via simultaneous multithreading. SIGARCH Comput. Archit. News 28, 2, 25-36.
-
(2000)
SIGARCH Comput. Archit. News
, vol.28
, Issue.2
, pp. 25-36
-
-
Reinhardt, S.1
Mukherjee, S.2
-
30
-
-
34249775197
-
Automatic instruction-level software-only recovery
-
REIS, G., CHANG, J., AND AUGUST, D. 2007. Automatic instruction-level software-only recovery. IEEE Micro 27, 1, 36-47.
-
(2007)
IEEE Micro
, vol.27
, Issue.1
, pp. 36-47
-
-
Reis, G.1
Chang, J.2
August, D.3
-
31
-
-
33646829087
-
Swift: Software implemented fault tolerance
-
IEEE
-
REIS, G., CHANG, J., VACHHARAJANI, N., RANGAN, R., AND AUGUST, D. 2005a. Swift: Software implemented fault tolerance. In Proceedings of the International Symposium on Code Generation and Optimization. IEEE, 243-254.
-
(2005)
Proceedings of the International Symposium on Code Generation and Optimization
, pp. 243-254
-
-
Reis, G.1
Chang, J.2
Vachharajani, N.3
Rangan, R.4
August, D.5
-
32
-
-
85019407607
-
Software-Controlled fault tolerance
-
REIS, G., CHANG, J., VACHHARAJANI, N., RANGAN, R., AUGUST, D., AND MUKHERJEE, S. 2005b. Software-Controlled fault tolerance. ACM Trans. Archit. Code Optim. 2, 4, 366-396.
-
(2005)
ACM Trans. Archit. Code Optim.
, vol.2
, Issue.4
, pp. 366-396
-
-
Reis, G.1
Chang, J.2
Vachharajani, N.3
Rangan, R.4
August, D.5
Mukherjee, S.6
-
33
-
-
27544438520
-
Design and evaluation of hybrid fault-detection systems
-
REIS, G. A., CHANG, J., VACHHARAJANI, N., RANGAN, R., AUGUST, D. I., AND MUKHERJEE, S. S. 2005c. Design and evaluation of hybrid fault-detection systems. In Proceedings of the 32nd Annual International Symposium on Computer Architecture. 148-159.
-
(2005)
Proceedings of the 32nd Annual International Symposium on Computer Architecture
, pp. 148-159
-
-
Reis, G.A.1
Chang, J.2
Vachharajani, N.3
Rangan, R.4
August, D.I.5
Mukherjee, S.S.6
-
34
-
-
77951237912
-
Cache vulnerability equations for protecting data in embedded processor caches from soft errors
-
SHRIVASTAVA, A., LEE, J., AND JEYAPAUL, R. 2010. Cache vulnerability equations for protecting data in embedded processor caches from soft errors. SIGPLAN Not. 45, 143-152.
-
(2010)
SIGPLAN Not.
, vol.45
, pp. 143-152
-
-
Shrivastava, A.1
Lee, J.2
Jeyapaul, R.3
-
35
-
-
35348914300
-
Mechanisms for bounding vulnerabilities of processor structures
-
ACM Press, New York
-
SOUNDARARAJAN, N. K., PARASHAR, A., AND SIVASUBRAMANIAM, A. 2007. Mechanisms for bounding vulnerabilities of processor structures. In Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA'07). ACM Press, New York, 506-515.
-
(2007)
Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA'07)
, pp. 506-515
-
-
Soundararajan, N.K.1
Parashar, A.2
Sivasubramaniam, A.3
-
36
-
-
0003747090
-
-
SYNOPSYS INC. Synopsys Inc., Mountain View, CA
-
SYNOPSYS INC. 2001. Design Compiler Reference Manual. Synopsys Inc., Mountain View, CA.
-
(2001)
Design Compiler Reference Manual
-
-
-
38
-
-
74049119339
-
Selective replication: A lightweight technique for soft errors
-
VERA, X., ABELLA, J., CARRETERO, J., AND GONZALEZ, A. 2009. Selective replication: A lightweight technique for soft errors. ACM Trans. Comput. Syst. 27, 4, 8.
-
(2009)
ACM Trans. Comput. Syst.
, vol.27
, Issue.4
, pp. 8
-
-
Vera, X.1
Abella, J.2
Carretero, J.3
Gonzalez, A.4
-
39
-
-
33748113790
-
Restore: Symptom-Based soft error detection in microprocessors
-
WANG, N. AND PATEL, S. 2006. Restore: Symptom-Based soft error detection in microprocessors. IEEE Trans. Depend. Secur. Comput. 3, 3, 188-201.
-
(2006)
IEEE Trans. Depend. Secur. Comput.
, vol.3
, Issue.3
, pp. 188-201
-
-
Wang, N.1
Patel, S.2
-
40
-
-
4544282186
-
Characterizing the effects of transient faults on a highperformance processor pipeline
-
IEEE
-
WANG, N., QUEK, J., RAFACZ, T., AND PATEL, S. 2004. Characterizing the effects of transient faults on a highperformance processor pipeline. In Proceedings of the International Conference on Dependable Systems and Networks. IEEE, 61-70.
-
(2004)
Proceedings of the International Conference on Dependable Systems and Networks
, pp. 61-70
-
-
Wang, N.1
Quek, J.2
Rafacz, T.3
Patel, S.4
-
41
-
-
45149093030
-
On the characterization of data cache vulnerability in high-performance embedded microprocessors
-
IEEE
-
WANG, S., HU, J., AND ZIAVRAS, S. 2006. On the characterization of data cache vulnerability in high-performance embedded microprocessors. In Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS'06). IEEE, 14-20.
-
(2006)
Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS'06)
, pp. 14-20
-
-
Wang, S.1
U, J.H.2
Ziavras, S.3
-
42
-
-
0035722922
-
Simulation of nucleon-induced nuclear reactions in a simplified sram structure: Scaling effects on seu and mbu cross sections
-
WROBEL, F., PALAU, J., CALVET, M., BERSILLON, O., AND DUARTE, H. 2001. Simulation of nucleon-induced nuclear reactions in a simplified sram structure: Scaling effects on seu and mbu cross sections. IEEE Trans. Nucl. Sci. 48, 6, 1946-1952.
-
(2001)
IEEE Trans. Nucl. Sci.
, vol.48
, Issue.6
, pp. 1946-1952
-
-
Wrobel, F.1
Palau, J.2
Calvet, M.3
Bersillon, O.4
Duarte, H.5
-
43
-
-
33746740060
-
A distributed control path architecture for vliw processors
-
IEEE
-
ZHONG, H., FAN, K., MAHLKE, S., AND SCHLANSKER, M. 2005. A distributed control path architecture for vliw processors. In Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05). IEEE, 197-206.
-
(2005)
Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05)
, pp. 197-206
-
-
Zhong, H.1
Fan, K.2
Mahlke, S.3
Schlansker, M.4
-
44
-
-
0003268059
-
DSPstone: A dsp-oriented benchmarking methodology
-
ZIVOJNOVIC, V., VELARDE, J., SCHLAGER, C., ANDMEYR, H. 1994. DSPstone: A dsp-oriented benchmarking methodology. In Proceedings of the International Conference on Signal Processing and Technology.
-
(1994)
Proceedings of the International Conference on Signal Processing and Technology
-
-
Zivojnovic, V.1
Velarde, J.2
Schlager, C.3
Andmeyr, H.4
|