-
1
-
-
70349285149
-
A 45 nm 8-core enterprise Xeon® processor
-
S. Rusu, S. Tam, H. Muljono, J. Stinson, D. Ayers, J. Chang, R. Varada, M. Ratta, and S. Kottapalli, "A 45 nm 8-core enterprise Xeon® processor," in IEEE ISSCC 2009, pp. 56-57.
-
(2009)
IEEE ISSCC
, pp. 56-57
-
-
Rusu, S.1
Tam, S.2
Muljono, H.3
Stinson, J.4
Ayers, D.5
Chang, J.6
Varada, R.7
Ratta, M.8
Kottapalli, S.9
-
2
-
-
85008053864
-
An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS
-
Jan
-
S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar, "An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 29-41, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 29-41
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Singh, A.8
Jacob, T.9
Jain, S.10
Erraguntla, V.11
Roberts, C.12
Hoskote, Y.13
Borkar, N.14
Borkar, S.15
-
3
-
-
72549087850
-
Tile64-Processor: A 64-core SoCwith mesh interconnect
-
S. Bell, B. Edwards, J. Amann, R. Conlin, K. Joyce, V. Leung, J. MacKay, M. Reif, L. Bao, J.Brown,M.Mattina, C.-C.Miao, C. Ramey, D. Wentzlaff, W. Anderson, E. Berger, N. Fairbanks, D. Khan, F. Montenegro, J. Stickney, and J. Zook, "Tile64-Processor: A 64-core SoCwith mesh interconnect," in IEEE ISSCC 2008, pp. 88-598.
-
(2008)
IEEE ISSCC
, pp. 88-598
-
-
Bell, S.1
Edwards, B.2
Amann, J.3
Conlin, R.4
Joyce, K.5
Leung, V.6
MacKay, J.7
Reif, M.8
Bao, L.9
Brown, M.J.10
Mattina, J.11
Miao, C.-C.12
Ramey, C.13
Wentzlaff, D.14
Anderson, W.15
Berger, E.16
Fairbanks, N.17
Khan, D.18
Montenegro, F.19
Stickney, J.20
Zook, J.21
more..
-
4
-
-
33947407658
-
Three-dimensional integrated circuits and the future of system-on-chip designs
-
DOI 10.1109/JPROC.2006.873612
-
R. Patti, "Three-dimensional integrated circuits and the future of system-on-chip designs," Proc. IEEE, vol. 94, no. 6, pp. 1214-1224, Jun. 2006. (Pubitemid 46444967)
-
(2006)
Proceedings of the IEEE
, vol.94
, Issue.6
, pp. 1214-1224
-
-
Patti, R.S.1
-
5
-
-
61649110276
-
Three-dimensional silicon integration
-
Nov
-
J.U.Knickerbocker, P. S. Andry, B.Dang,R.R.Horton,M.J. Interrante, C. S. Patel, R. J. Polastre, K. Sakuma, R. Sirdeshmukh, E. J. Sprogis, S.M. Sri-Jayantha, A.M. Stephens, A. W. Topol, C. K. Tsang, B. C. Webb, and S. L.Wright, "Three-dimensional silicon integration," IBM J. Research andDevelopment, vol. 52, no. 6, pp. 553-569,Nov. 2008.
-
(2008)
IBM J. Research AndDevelopment
, vol.52
, Issue.6
, pp. 553-569
-
-
Knickerbocker, J.U.1
Andry, P.S.2
Interrante, B.3
Dang, R.R.4
Horton, M.J.5
Patel, C.S.6
Polastre, R.J.7
Sakuma, K.8
Sirdeshmukh, R.9
Sprogis, E.J.10
Sri-Jayantha, S.M.11
Stephens, A.M.12
Topol, A.W.13
Tsang, C.K.14
Webb, B.C.15
Wright, S.L.16
-
6
-
-
52649125840
-
3D-stacked memory architectures for multi-core processors
-
G. H. Loh, "3D-stacked memory architectures for multi-core processors," SIGARCH Comput. Archit. News, vol. 36, no. 3, pp. 453-464, 2008.
-
(2008)
SIGARCH Comput. Archit. News
, vol.36
, Issue.3
, pp. 453-464
-
-
Loh, G.H.1
-
7
-
-
56349152231
-
PicoServer: Using 3D stacking technology to build energy efficient servers
-
Reinhardt, K. Flautner, and T. Mudge
-
T. Kgil, A. Saidi, N. Binkert, S. Reinhardt, K. Flautner, and T. Mudge, "PicoServer: Using 3D stacking technology to build energy efficient servers," J. Emerg. Technol. Comput. Syst., vol. 4, no. 4, 2008.
-
(2008)
J. Emerg. Technol. Comput. Syst
, vol.4
, Issue.4
-
-
Kgil, T.1
Saidi, A.2
Binkert S, N.3
-
8
-
-
79959751335
-
Microprocessor system applications and challenges for through-silicon-via-based three-dimensional integration
-
May
-
T. Karnik, D. Somasekhar, and S. Borkar, "Microprocessor system applications and challenges for through-silicon-via-based three-dimensional integration," IET Computers Digital Techniques, vol. 5, no. 3, pp. 205-212, May 2011.
-
(2011)
IET Computers Digital Techniques
, vol.5
, Issue.3
, pp. 205-212
-
-
Karnik, T.1
Somasekhar, D.2
Borkar, S.3
-
9
-
-
84860654078
-
A 3D system prototype of an edram cache stacked over processor-like logic using through-silicon vias
-
M. Wordeman, J. Silberman, G. Maier, and M. Scheuermann, "A 3D system prototype of an edram cache stacked over processor-like logic using through-silicon vias," in IEEE ISSCC 2012, pp. 186-187.
-
(2012)
IEEE ISSCC
, pp. 186-187
-
-
Wordeman, M.1
Silberman, J.2
Maier, G.3
Scheuermann, M.4
-
11
-
-
84860655377
-
3D-maps: 3D massively parallel processor with stacked memory
-
D. H. Kim, K. Athikulwongse, M. Healy, M. Hossain, M. Jung, I. Khorosh, G. Kumar, Y.-J. Lee, D. Lewis, T.-W. Lin, C. Liu, S. Panth, M. Pathak, M. Ren, G. Shen, T. Song, D. H. Woo, X. Zhao, J. Kim, H. Choi, G. Loh, H.-H. Lee, and S. K. Lim, "3D-maps: 3D massively parallel processor with stacked memory," in IEEE ISSCC 2012, pp. 188-190.
-
(2012)
IEEE ISSCC
, pp. 188-190
-
-
Kim, D.H.1
Athikulwongse, K.2
Healy, M.3
Hossain, M.4
Jung, M.5
Khorosh, I.6
Kumar, G.7
Lee, Y.-J.8
Lewis, D.9
Lin, T.-W.10
Liu, C.11
Panth, S.12
Pathak, M.13
Ren, M.14
Shen, G.15
Song, T.16
Woo, D.H.17
Zhao, X.18
Kim, J.19
Choi, H.20
Loh, G.21
Lee, H.-H.22
Lim, S.K.23
more..
-
12
-
-
84860678550
-
Centip3De: A 3930 dmips/W configurable near-threshold 3D stacked system with 64 arm cortex-m3 cores
-
D.Fick, R. G. Dreslinski,B.Giridhar, G. Kim, S. Seo,M. Fojtik,S.Satpathy, Y. Lee, D. Kim, N. Liu, M.Wieckowski, G. Chen, T.Mudge, D. Sylvester, and D. Blaauw, "Centip3De: A 3930 dmips/W configurable near-threshold 3D stacked system with 64 arm cortex-m3 cores," in IEEE ISSCC2012, pp. 190-192.
-
(2012)
IEEE ISSCC
, pp. 190-192
-
-
Fick, D.1
Dreslinski, B.2
Giridhar, R.G.3
Kim, G.4
Seo, M.5
Fojtik, S.6
Satpathy, S.7
Lee, Y.8
Kim, D.9
Liu, N.10
Wieckowski, M.11
Chen, G.12
Mudge, T.13
Sylvester, D.14
Blaauw, D.15
-
13
-
-
33847708700
-
Scaling, power, and the future of CMOS
-
M. Horowitz, E. Alon, D. Patil, S. Naffziger, R. Kumar, and K. Bernstein, "Scaling, power, and the future of CMOS," in IEEE IEDM Tech. Dig., 2005, pp. 7-15.
-
(2005)
IEEE IEDM Tech. Dig
, pp. 7-15
-
-
Horowitz, M.1
Alon, E.2
Patil, D.3
Naffziger, S.4
Kumar, R.5
Bernstein, K.6
-
14
-
-
36949010083
-
Energy efficient near-threshold chip multi-processing
-
DOI 10.1145/1283780.1283789, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
-
B. Zhai, R.Dreslinski, D. Blaauw, T.Mudge, and D. Sylvester, "Energy efficient near-threshold chip multi-processing," in 2007 ACM/IEEE Int. Symp. Low Power Electronics and Design (ISLPED), Aug. 2007, pp. 32-37. (Pubitemid 350239899)
-
(2007)
Proceedings of the International Symposium on Low Power Design
, pp. 32-37
-
-
Zhai, B.1
Dreslinski, R.G.2
Blaauw, D.3
Mudge, T.4
Sylvester, D.5
-
15
-
-
75649093754
-
Near-threshold computing: Reclaiming Moore's law through energy efficient integrated circuits
-
Feb.
-
R. Dreslinski,M.Wieckowski, D. Blaauw, D. Sylvester, and T.Mudge, "Near-threshold computing: Reclaiming Moore's law through energy efficient integrated circuits," Proc. IEEE, vol. 98, no. 2, pp. 253-266, Feb. 2010.
-
(2010)
Proc. IEEE
, vol.98
, Issue.2
, pp. 253-266
-
-
Dreslinski, M.1
Wieckowski, R.2
Blaauw, D.3
Sylvester, D.4
Mudge, T.5
-
16
-
-
77952188483
-
Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells
-
G. Chen, M. Fojtik, D. Kim, D. Fick, J. Park, M. Seok, M.-T. Chen, Z. Foo, D. Sylvester, and D. Blaauw, "Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells," in 2010 IEEE ISSCC, pp. 288-289.
-
2010 IEEE ISSCC
, pp. 288-289
-
-
Chen, G.1
Fojtik, M.2
Kim, D.3
Fick, D.4
Park, J.5
Seok, M.6
Chen, M.-T.7
Foo, Z.8
Sylvester, D.9
Blaauw, D.10
-
17
-
-
58149234982
-
A 65 nm sub-microcontroller with integrated SRAM and switched capacitor DC-DC converter
-
Jan
-
J. Kwong, Y. Ramadass, N. Verma, and A. Chandrakasan, "A 65 nm sub-microcontroller with integrated SRAM and switched capacitor DC-DC converter," IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 115-126, Jan. 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.1
, pp. 115-126
-
-
Kwong, J.1
Ramadass, Y.2
Verma, N.3
Chandrakasan, A.4
-
18
-
-
79955705568
-
A cubic-millimeter energy-autonomous wireless intraocular pressure monitor
-
G. Chen, H. Ghaed, R. Haque, M. Wieckowski, Y. Kim, G. Kim, D. Fick, D. Kim, M. Seok, K. Wise, D. Blaauw, and D. Sylvester, "A cubic-millimeter energy-autonomous wireless intraocular pressure monitor," in 2011 IEEE ISSCC, pp. 310-312.
-
2011 IEEE ISSCC
, pp. 310-312
-
-
Chen, G.1
Ghaed, H.2
Haque, R.3
Wieckowski, M.4
Kim, Y.5
Kim, G.6
Fick, D.7
Kim, D.8
Seok, M.9
Wise, K.10
Blaauw, D.11
Sylvester, D.12
-
19
-
-
47849095115
-
An energy efficient parallel architecture using near threshold operation
-
R. G. Dreslinkski, B. Zhai, T. Mudge, D. Blaauw, and D. Sylvester, "An energy efficient parallel architecture using near threshold operation," in Proc. Parallel Architecture and Compilation Techniques, 2007, pp. 175-188.
-
(2007)
Proc. Parallel Architecture and Compilation Techniques
, pp. 175-188
-
-
Dreslinkski, R.G.1
Zhai, B.2
Mudge, T.3
Blaauw, D.4
Sylvester, D.5
-
20
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
K. Flautner,N. S.Kim, S.Martin,D.Blaauw, and T. Mudge, "Drowsy caches: simple techniques for reducing leakage power," in Proc. 29th Annual Int. Symp. Computer Architecture, 2002, pp. 148-157. (Pubitemid 34691858)
-
(2002)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
21
-
-
78650885828
-
A 512 kb 8T SRAM macro operating down to 0.57 v with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45 nm SOI CMOS
-
Jan.
-
M. Qazi, K. Stawiasz, L. Chang, and A. Chandrakasan, "A 512 kb 8T SRAM macro operating down to 0.57 v with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45 nm SOI CMOS," IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 85-96, Jan. 2011.
-
(2011)
IEEE J. Solid-State Circuits
, vol.46
, Issue.1
, pp. 85-96
-
-
Qazi, M.1
Stawiasz, K.2
Chang, L.3
Chandrakasan, A.4
-
22
-
-
34548830136
-
A sub-200 mv 6T SRAM in 0.13 m CMOS
-
B. Zhai, D. Blaauw, D. Sylvester, and S. Hanson, "A sub-200 mv 6T SRAM in 0.13 m CMOS," in IEEE ISSCC 2007, pp. 332-606.
-
(2007)
IEEE ISSCC
, pp. 332-606
-
-
Zhai, B.1
Blaauw, D.2
Sylvester, D.3
Hanson, S.4
-
23
-
-
84860679245
-
Capacitive-coupling wordline boosting with self-induced Vcc collapse for write Vmin reduction in 22-nm 8T SRAM
-
J. Kulkarni, B. Geuskens, T. Karnik, M. Khellah, J. Tschanz, and V. De, "Capacitive-coupling wordline boosting with self-induced Vcc collapse for write Vmin reduction in 22-nm 8T SRAM," in 2012 IEEE ISSCC, pp. 234-236.
-
2012 IEEE ISSCC
, pp. 234-236
-
-
Kulkarni, J.1
Geuskens, B.2
Karnik, T.3
Khellah, M.4
Tschanz, J.5
De, V.6
-
24
-
-
41549129905
-
An 8 T-SRAM for variability tolerance and low-voltage operation in high-performance caches
-
Apr
-
L. Chang, R. Montoye, Y. Nakamura, K. Batson, R. Eickemeyer, R. Dennard, W. Haensch, and D. Jamsek, "An 8 T-SRAM for variability tolerance and low-voltage operation in high-performance caches," IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 956-963, Apr. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.4
, pp. 956-963
-
-
Chang, L.1
Montoye, R.2
Nakamura, Y.3
Batson, K.4
Eickemeyer, R.5
Dennard, R.6
Haensch, W.7
Jamsek, D.8
-
25
-
-
34547166614
-
Reliability modeling and management in dynamic microprocessor-based systems
-
DOI 10.1145/1146909.1147174, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
E. Karl, D. Blaauw, D. Sylvester, and T.Mudge, "Reliability modeling and management in dynamic microprocessor-based systems," in Proc. 43rd annual Design Automation Conf., DAC '06, San Francisco, CA, 2006, pp. 1057-1060. (Pubitemid 47114052)
-
(2006)
Proceedings - Design Automation Conference
, pp. 1057-1060
-
-
Karl, E.1
Blaauw, D.2
Sylvester, D.3
Mudge, T.4
-
26
-
-
41549122836
-
Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits
-
DOI 10.1109/JSSC.2008.917502
-
T.-H. Kim, R. Persaud, and C. Kim, "Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits," IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 874-880, Apr. 2008. (Pubitemid 351464080)
-
(2008)
IEEE Journal of Solid-State Circuits
, vol.43
, Issue.4
, pp. 874-880
-
-
Kim, T.-H.1
Persaud, R.2
Kim, C.H.3
-
27
-
-
84871961631
-
-
[Online]
-
"ARM Cortex-M3," [Online]. Available: http://www.arm.com/ products/CPUs/ARM-Cortex-M3.html
-
ARM Cortex-M3
-
-
-
31
-
-
84872133465
-
-
[Online]
-
"ARM Cortex-A9," [Online]. Available: http://www.arm.com/ products/processors/cortex-a/cortex-a9.php
-
ARM Cortex-A9
-
-
|