-
2
-
-
70350715271
-
Trends in emerging on-chip interconnect technologies
-
Aug. 2008
-
S. Pasricha and N. Dutt, "Trends in emerging on-chip interconnect technologies," IPSJ Trans. Syst. LSI Design Methodol., vol. 1, pp. 2-17, Aug. 2008.
-
IPSJ Trans. Syst. LSI Design Methodol.
, vol.1
, pp. 2-17
-
-
Pasricha, S.1
Dutt, N.2
-
4
-
-
34547261834
-
Thousand core chips - A technology perspective
-
DOI 10.1109/DAC.2007.375263, 4261282, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
-
S. Borkar, "Thousand core chips: A technology perspective," in Proc. ACM/IEEE Design Autom. Conf., Jun. 2007, pp. 746-749. (Pubitemid 47130064)
-
(2007)
Proceedings - Design Automation Conference
, pp. 746-749
-
-
Borkar, S.1
-
6
-
-
2442653656
-
Interconnect limits on gigascale integration
-
Mar
-
J. A. Davis, R. Venkatesan, A. Kaloyeros, M. Beylansky, S. J. Souri, K. Banerjee, K. C. Saraswat, A. Rahman, R. Reif, and J. D. Meindl, "Interconnect limits on gigascale integration," Proc. IEEE, vol. 89, no. 3, pp. 305-324, Mar. 2001.
-
(2001)
Proc. IEEE
, vol.89
, Issue.3
, pp. 305-324
-
-
Davis, J.A.1
Venkatesan, R.2
Kaloyeros, A.3
Beylansky, M.4
Souri, S.J.5
Banerjee, K.6
Saraswat, K.C.7
Rahman, A.8
Reif, R.9
Meindl, J.D.10
-
7
-
-
0042850597
-
Interconnect opportunities for gigascale integration
-
May
-
J. D. Meindl, "Interconnect opportunities for gigascale integration," IEEE Micro, Special Issue Reliab.-Aware Microarchitecture, vol. 23, no. 3, pp. 28-35, May 2003.
-
(2003)
IEEE Micro, Special Issue Reliab.-Aware Microarchitecture
, vol.23
, Issue.3
, pp. 28-35
-
-
Meindl, J.D.1
-
8
-
-
85008053864
-
An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS
-
Jan
-
S. R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar, "An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 29-41, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 29-41
-
-
Vangal, S.R.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Singh, A.8
Jacob, T.9
Jain, S.10
Erraguntla, V.11
Roberts, C.12
Hoskote, Y.13
Borkar, N.14
Borkar, S.15
-
9
-
-
0036505033
-
The raw microprocessor: A computational fabric for software circuits and general-purpose programs
-
DOI 10.1109/MM.2002.997877
-
M. B. Taylor, J. Kim, J. Miller, D. Wentzlaff, F. Ghodrat, B. Greenwald, H. Hoffman, P. Johnson, J.-W. Lee, W. Lee, A. Ma, A. Saraf, M. Seneski, N. Shnidman, V. Strumpen, M. Frank, S. Amarasinghe, and A. Agarwal, "The raw microprocessor: A computational fabric for software circuits and general-purpose programs," IEEE Micro, vol. 22, no. 2, pp. 25-35, Mar.-Apr. 2002. (Pubitemid 34434061)
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 25-35
-
-
Taylor, M.B.1
Kim, J.2
Miller, J.3
Wentzlaff, D.4
Ghodrat, F.5
Greenwald, B.6
Hoffman, H.7
Johnson, P.8
Lee, J.-W.9
Lee, W.10
Ma, A.11
Saraf, A.12
Seneski, M.13
Shnidman, N.14
Strumpen, V.15
Frank, M.16
Amarasinghe, S.17
Agarwal, A.18
-
10
-
-
34047094976
-
Networks on chips for high-end consumer-electronics TV system architectures
-
F. Steenhof, H. Duque, B. Nilsson, K. Goossens, and R. P. Llopis, "Networks on chips for high-end consumer-electronics TV system architectures," in Proc. Conf. Design Autom. Test Eur., 2006, pp. 148- 153.
-
(2006)
Proc. Conf. Design Autom. Test Eur.
, pp. 148-153
-
-
Steenhof, F.1
Duque, H.2
Nilsson, B.3
Goossens, K.4
Llopis, R.P.5
-
11
-
-
73249150095
-
Performability/energy tradeoff in error-control schemes for on-chip networks
-
Jan
-
A. Ejlali, B. M. Al-Hashimi, P. Rosinger, S. G. Miremadi, and L. Benini, "Performability/energy tradeoff in error-control schemes for on-chip networks," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 18, no. 1, pp. 1-14, Jan. 2010.
-
(2010)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.18
, Issue.1
, pp. 1-14
-
-
Ejlali, A.1
Al-Hashimi, B.M.2
Rosinger, P.3
Miremadi, S.G.4
Benini, L.5
-
13
-
-
36349035909
-
Inserting data encoding techniques into NoC-based systems
-
DOI 10.1109/ISVLSI.2007.58, 4208931, Proceedings - IEEE Computer Society Annual Symposium on VLSI: Emerging VLSI Technologies and Architectures
-
J. C. S. Palma, L. S. Indrusiak, F. G. Moraes, A. G. Ortiz, M. Glesner, and R. A. L. Reis, "Inserting data encoding techniques into NoC-based systems," in Proc. IEEE Comput. Soc. Annu. Symp. VLSI, Mar. 2007, pp. 299-304. (Pubitemid 350148015)
-
(2007)
Proceedings - IEEE Computer Society Annual Symposium on VLSI: Emerging VLSI Technologies and Architectures
, pp. 299-304
-
-
Palma, J.C.S.1
Indrusiak, L.S.2
Moraes, F.G.3
Ortiz, A.G.4
Glesner, M.5
Reis, R.A.L.6
-
14
-
-
36849022584
-
A 5-GHz mesh interconnect for a teraflops processor
-
DOI 10.1109/MM.2007.4378783
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar, "A 5-GHz mesh interconnect for a teraflops processor," IEEE MICRO, vol. 27, no. 5, pp. 51-61, Sep.-Oct. 2007. (Pubitemid 350218387)
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
15
-
-
49549112219
-
Interconnect modeling for improved system-level design optimization
-
L. Carloni, A. B. Kahng, S. Muddu, A. Pinto, K. Samadi, and P. Sharma, "Interconnect modeling for improved system-level design optimization," in Proc. Asia South Pacific Design Autom. Conf., 2008, pp. 258-264.
-
(2008)
Proc. Asia South Pacific Design Autom. Conf.
, pp. 258-264
-
-
Carloni, L.1
Kahng, A.B.2
Muddu, S.3
Pinto, A.4
Samadi, K.5
Sharma, P.6
-
16
-
-
33745715755
-
Power analysis of link level and end-to-end data protection in networks on chip
-
May
-
A. Jantsch, R. Lauter, and A. Vitkowski, "Power analysis of link level and end-to-end data protection in networks on chip," in Proc. IEEE Int. Symp. Circuits Syst., vol. 2. May 2005, pp. 1770-1773.
-
(2005)
Proc. IEEE Int. Symp. Circuits Syst.
, vol.2
, pp. 1770-1773
-
-
Jantsch, A.1
Lauter, R.2
Vitkowski, A.3
-
17
-
-
42949107065
-
Energy reduction through crosstalk avoidance coding in networks on chip
-
DOI 10.1016/j.sysarc.2007.09.002, PII S1383762107001233
-
P. P. Pande, A. Ganguly, H. Zhu, and C. Grecu, "Energy reduction through crosstalk avoidance coding in networks on chip," J. Syst. Architure, vol. 54, nos. 3-4, pp. 441-451, 2008. (Pubitemid 351615329)
-
(2008)
Journal of Systems Architecture
, vol.54
, Issue.3-4
, pp. 441-451
-
-
Pande, P.P.1
Ganguly, A.2
Zhu, H.3
Grecu, C.4
-
18
-
-
0034314916
-
Variable-frequency parallel I/O interface with adaptive power-supply regulation
-
DOI 10.1109/4.881205
-
G.-Y. Wei, J. Kim, D. Liu, S. Sidiropoulos, and M. A. Horowitz, "A variable-frequency parallel I/O interface with adaptive power-supply regulation," IEEE J. Solid-State Circuits, vol. 35, no. 11, pp. 1600-1610, Nov. 2000. (Pubitemid 32070552)
-
(2000)
IEEE Journal of Solid-State Circuits
, vol.35
, Issue.11
, pp. 1600-1610
-
-
Wei, G.-Y.1
Kim, J.2
Liu, D.3
Sidiropoulos, S.4
Horowitz, M.A.5
-
19
-
-
0036857082
-
Adaptive supply serial links with sub-1-V operation and per-pin clock recovery
-
DOI 10.1109/JSSC.2002.803937
-
J. Kim and M. A. Horowitz, "Adaptive supply serial links with sub- 1v operation and per-pin clock recovery," IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1403-1413, Nov. 2002. (Pubitemid 35432160)
-
(2002)
IEEE Journal of Solid-State Circuits
, vol.37
, Issue.11
, pp. 1403-1413
-
-
Kim, J.1
Horowitz, M.A.2
-
21
-
-
33745842608
-
Compiler-directed channel allocation for saving power in on-chip networks
-
G. Chen, F. Li, and M. Kandemir, "Compiler-directed channel allocation for saving power in on-chip networks," ACM SIGPLAN Not., vol. 41, no. 1, pp. 194-205, 2006.
-
(2006)
ACM SIGPLAN Not.
, vol.41
, Issue.1
, pp. 194-205
-
-
Chen, G.1
Li, F.2
Kandemir, M.3
-
22
-
-
68049105946
-
A variable frequency link for a poweraware network-on-chip
-
Sep
-
S. E. Lee and N. Bagherzadeh, "A variable frequency link for a poweraware network-on-chip," Integr. VLSI J., vol. 42, no. 4, pp. 479-485, Sep. 2009.
-
(2009)
Integr. VLSI J.
, vol.42
, Issue.4
, pp. 479-485
-
-
Lee, S.E.1
Bagherzadeh, N.2
-
23
-
-
35048834531
-
Bus invert coding for low power I/O
-
Mar
-
M. R. Stan and W. P. Burleson, "Bus invert coding for low power I/O," IEEE Trans. Very Large Scale Integr. Syst., vol. 3, no. 1, pp. 49-58, Mar. 1995.
-
(1995)
IEEE Trans. Very Large Scale Integr. Syst.
, vol.3
, Issue.1
, pp. 49-58
-
-
Stan, M.R.1
Burleson, W.P.2
-
24
-
-
0028715171
-
Saving power in the control path of embedded processors
-
Aug
-
C. Su, C. Tsui, and A. Despain, "Saving power in the control path of embedded processors," IEEE Design Test Comput., vol. 11, no. 4, pp. 24-30, Aug. 1994.
-
(1994)
IEEE Design Test Comput.
, vol.11
, Issue.4
, pp. 24-30
-
-
Su, C.1
Tsui, C.2
Despain, A.3
-
25
-
-
0030644909
-
Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems
-
Mar
-
L. Benini, G. D. Micheli, E. Macii, D. Sciuto, and C. Silvano, "Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems," in Proc. Great Lakes Symp. VLSI, Mar. 1997, pp. 77-82.
-
(1997)
Proc. Great Lakes Symp. VLSI
, pp. 77-82
-
-
Benini, L.1
Micheli, G.D.2
MacIi, E.3
Sciuto, D.4
Silvano, C.5
-
26
-
-
0032287846
-
Working-zone encoding for reducing the energy in microprocessor address buses
-
PII S1063821098085151
-
E. Musoll, T. Lang, and J. Cortadella, "Reducing the energy of address and data buses with the working-zone encoding technique and its effect on multimedia applications," in Proc. Power Driven Architecture Workshop, 1998, pp. 568-572. (Pubitemid 128745519)
-
(1998)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.6
, Issue.4
, pp. 568-572
-
-
Musoll, E.1
Lang, T.2
Cortadella, J.3
-
27
-
-
0032300757
-
Power optimization of core-based systems by address bus encoding
-
PII S1063821098085205
-
L. Benini, G. D. Micheli, E. Macii, M. Poncino, and S. Quer, "Power optimization of core-based systems by address bus encoding," IEEE Trans. Very Large Scale Integr. Syst., vol. 6, no. 4, pp. 554-562, Dec. 1998. (Pubitemid 128745517)
-
(1998)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.6
, Issue.4
, pp. 554-562
-
-
Benini, L.1
De Micheli, G.2
Macii, E.3
Poncino, M.4
Quer, S.5
-
28
-
-
33747466024
-
Architectures and synthesis algorithms for power-efficient bus interfaces
-
Sep
-
L. Benini, A. Macii, E. Macii, M. Poncino, and R. Scarsi, "Architectures and synthesis algorithms for power-efficient bus interfaces," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 19, no. 9, pp. 969-980, Sep. 2000.
-
(2000)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.19
, Issue.9
, pp. 969-980
-
-
Benini, L.1
MacIi, A.2
MacIi, E.3
Poncino, M.4
Scarsi, R.5
-
29
-
-
27844583368
-
Switching activity reduction in embedded systems: A genetic bus encoding approach
-
DOI 10.1049/ip-cdt:20045174
-
G. Ascia, V. Catania, M. Palesi, and A. Parlato, "Switching activity reduction in embedded systems: A genetic bus encoding approach," IEE Proc. Comput. Digital Tech., vol. 152, no. 6, pp. 756-764, Nov. 2005. (Pubitemid 41659019)
-
(2005)
IEE Proceedings: Computers and Digital Techniques
, vol.152
, Issue.6
, pp. 756-764
-
-
Ascia, G.1
Catania, V.2
Palesi, M.3
Parlato, A.4
-
30
-
-
0034483997
-
Coupling-driven signal encoding scheme for low-power interface design
-
K. W. Kim, K. H. Baek, N. Shanbhag, C. L. Liu, and S. M. Kang, "Coupling-driven signal encoding scheme for low-power interface design," in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design, Nov. 2000, pp. 318-321. (Pubitemid 32188440)
-
(2000)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers
, pp. 318-321
-
-
Kim Ki-Wook1
Baek Kwang-Hyun2
Shanbhag Naresh3
Liu, C.L.4
Kang Sung-Mo5
-
31
-
-
0034841281
-
Encoding schemes for address busses in energy efficient SoC design
-
Dec
-
J. Henkel, H. Lekatsas, and V. Jakkula, "Encoding schemes for address busses in energy efficient SoC design," in Proc. 11th VLSI-SoC Int. Conf. Very Large Scale Integration, Dec. 2001, pp. 744-749.
-
(2001)
Proc. 11th VLSI-SoC Int. Conf. Very Large Scale Integration
, pp. 744-749
-
-
Henkel, J.1
Lekatsas, H.2
Jakkula, V.3
-
32
-
-
74549136801
-
Data encoding for lowpower in wormhole-switched networks-on-chip
-
M. Palesi, F. Fazzino, G. Ascia, and V. Catania, "Data encoding for lowpower in wormhole-switched networks-on-chip," in Proc. Euromicro Conf. Digital Syst. Des., 2009, pp. 119-126.
-
(2009)
Proc. Euromicro Conf. Digital Syst. Des.
, pp. 119-126
-
-
Palesi, M.1
Fazzino, F.2
Ascia, G.3
Catania, V.4
-
33
-
-
77950386196
-
An encoding scheme to reduce power consumption in networks-on-chip
-
Dec
-
G. Ascia, V. Catania, F. Fazzino, and M. Palesi, "An encoding scheme to reduce power consumption in networks-on-chip," in Proc. IEEE Int. Conf. Comput. Eng. Syst., Dec. 2009, pp. 15-20.
-
(2009)
Proc. IEEE Int. Conf. Comput. Eng. Syst.
, pp. 15-20
-
-
Ascia, G.1
Catania, V.2
Fazzino, F.3
Palesi, M.4
-
34
-
-
84943681390
-
A survey of wormhole routing techniques in direct networks
-
Feb
-
L. M. Ni and P. K. McKinley, "A survey of wormhole routing techniques in direct networks," IEEE Comput., vol. 26, no. 2, pp. 62-76, Feb. 1993.
-
(1993)
IEEE Comput.
, vol.26
, Issue.2
, pp. 62-76
-
-
Ni, L.M.1
McKinley, P.K.2
-
35
-
-
0036149420
-
Networks on chips: A new SoC paradigm
-
DOI 10.1109/2.976921
-
L. Benini and G. D. Micheli, "Networks on chips: A new SoC paradigm," IEEE Comput., vol. 35, no. 1, pp. 70-78, Jan. 2002. (Pubitemid 34069383)
-
(2002)
Computer
, vol.35
, Issue.1
, pp. 70-78
-
-
Benini, L.1
De Micheli, G.2
-
36
-
-
34250831419
-
A system-level network-on-chip simulation framework with analytical interconnecting wire models
-
DOI 10.1109/EIT.2006.252176, 4017713, 2006 IEEE International Conference on Electro Information Technology
-
J. Xi and P. Zhong, "A system-level network-on-chip simulation framework with analytical interconnecting wire models," in Proc. IEEE Int. Conf. Electro/Inform. Technol., May 2006, pp. 301-306. (Pubitemid 46970124)
-
(2006)
2006 IEEE International Conference on Electro Information Technology
, pp. 301-306
-
-
Xi, J.1
Zhong, P.2
-
37
-
-
4043150092
-
Xpipes: A network-on-chip architecture for gigascale systems-on-chip
-
Apr.-Jun
-
D. Bertozzi and L. Benini, "Xpipes: A network-on-chip architecture for gigascale systems-on-chip," IEEE Circuits Syst. Mag., vol. 4, no. 2, pp. 18-31, Apr.-Jun. 2004.
-
(2004)
IEEE Circuits Syst. Mag.
, vol.4
, Issue.2
, pp. 18-31
-
-
Bertozzi, D.1
Benini, L.2
-
39
-
-
24144461667
-
Performance evaluation and design trade-offs for network-on-chip interconnect architectures
-
DOI 10.1109/TC.2005.134
-
P. P. Pande, C. Grecu, M. Jones, A. Ivanov, and R. Saleh, "Performance evaluation and design tradeoffs for network-on-chip interconnect architectures," IEEE Trans. Comput., vol. 54, no. 8, pp. 1025-1040, Aug. 2005. (Pubitemid 41235938)
-
(2005)
IEEE Transactions on Computers
, vol.54
, Issue.8
, pp. 1025-1040
-
-
Pande, P.P.1
Grecu, C.2
Jones, M.3
Ivanov, A.4
Saleh, R.5
-
40
-
-
16444383201
-
Energy- And performance-aware mapping for regular NoC architectures
-
DOI 10.1109/TCAD.2005.844106
-
J. Hu and R. Marculescu, "Energy and performance-aware mapping for regular NoC architectures," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 4, pp. 551-562, Apr. 2005. (Pubitemid 40476038)
-
(2005)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.24
, Issue.4
, pp. 551-562
-
-
Hu, J.1
Marculescu, R.2
-
41
-
-
33750942664
-
Case study: NoC based Next-generation WLAN receiver design in transaction level
-
1625774, 8th International Conference Advanced Communication Technology, ICACT 2006 - Proceedings
-
S.-R. Yoon, J. Lee, and S.-C. Park, "Case study: Noc based nextgeneration WLAN receiver design in transaction level," in Proc. Int. Conf. Adv. Commun. Technol., 2006, pp. 1125-1128. (Pubitemid 44732917)
-
(2006)
8th International Conference Advanced Communication Technology, ICACT 2006 - Proceedings
, vol.2
, pp. 1125-1128
-
-
Yoon, S.-R.1
Lee, J.2
Park, S.-C.3
-
42
-
-
0010204914
-
Chip-set for video display of multimedia information
-
Aug
-
E. G. T. Jaspers and P. H. N. de With, "Chip-set for video display of multimedia information," IEEE Trans. Consumer Electron., vol. 45, no. 3, pp. 706-715, Aug. 1999.
-
(1999)
IEEE Trans. Consumer Electron.
, vol.45
, Issue.3
, pp. 706-715
-
-
Jaspers, E.G.T.1
De With, P.H.N.2
-
43
-
-
0036030760
-
Mapping of MPEG-4 decoding on a flexible architecture platform
-
E. B. van der Tol and E. G. Jaspers, "Mapping of MPEG-4 decoding on a flexible architecture platform," in Proc. SPIE: Media Processors, vol. 4674. 2002, pp. 362-375.
-
(2002)
Proc. SPIE: Media Processors
, vol.4674
, pp. 362-375
-
-
Tol Der Van, E.B.1
Jaspers, E.G.2
|