-
1
-
-
70450249797
-
A scalable, commodity data center network architecture
-
ACM, New York, NY
-
Mohammad Al-Fares, Alexander Loukissas, and Amin Vahdat. 2008. A scalable, commodity data center network architecture. In Proceedings of the ACM SIGCOMM 2008 Conference on Data Communication (SIGCOMM'08). ACM, New York, NY. DOI: http://dx.doi.org/10.1145/1402958.1402967
-
(2008)
Proceedings of the ACM SIGCOMM 2008 Conference on Data Communication (SIGCOMM'08)
-
-
Al-Fares, M.1
Loukissas, A.2
Vahdat, A.3
-
2
-
-
78149295113
-
Data center TCP (DCTCP)
-
ACM, New York, NY
-
Mohammad Alizadeh, Albert Greenberg, David A. Maltz, Jitendra Padhye, Parveen Patel, Balaji Prabhakar, Sudipta Sengupta, and Murari Sridharan. 2010. Data center TCP (DCTCP). In Proceedings of the ACM SIGCOMM 2010 Conference (SIGCOMM'10). ACM, New York, NY. DOI: http://dx.doi.org/10.1145/1851182.1851192
-
(2010)
Proceedings of the ACM SIGCOMM 2010 Conference (SIGCOMM'10)
-
-
Alizadeh, M.1
Greenberg, A.2
Maltz, D.A.3
Padhye, J.4
Patel, P.5
Prabhakar, B.6
Sengupta, S.7
Sridharan, M.8
-
3
-
-
47249127725
-
The case for energy-proportional computing
-
Dec. 2007
-
Luiz Barroso and Urs Hölzle. 2007. The case for energy-proportional computing. Computer 40, 12 (Dec. 2007).
-
(2007)
Computer
, vol.40
, Issue.12
-
-
Barroso, L.1
Hölzle, U.2
-
5
-
-
84996437051
-
IX: A protected dataplane operating system for high throughput and low latency
-
USENIX Association, Broomfield, CO
-
Adam Belay, George Prekas, Ana Klimovic, Samuel Grossman, Christos Kozyrakis, and Edouard Bugnion. 2014. IX: A protected dataplane operating system for high throughput and low latency. In Proceedings of the 11th USENIX Symposium on Operating Systems Design and Implementation (OSDI 14). USENIX Association, Broomfield, CO.
-
(2014)
Proceedings of the 11th USENIX Symposium on Operating Systems Design and Implementation (OSDI 14)
-
-
Belay, A.1
Prekas, G.2
Klimovic, A.3
Grossman, S.4
Kozyrakis, C.5
Bugnion, E.6
-
6
-
-
85077067285
-
A case for NUMA-aware contention management on multicore systems
-
USENIX Association, Berkeley, CA
-
Sergey Blagodurov, Sergey Zhuravlev, Mohammad Dashti, and Alexandra Fedorova. 2011. A case for NUMA-aware contention management on multicore systems. In Proceedings of the 2011 USENIX Conference on USENIX Annual Technical Conference (USENIXATC'11). USENIX Association, Berkeley, CA.
-
(2011)
Proceedings of the 2011 USENIX Conference on USENIX Annual Technical Conference (USENIXATC'11)
-
-
Blagodurov, S.1
Zhuravlev, S.2
Dashti, M.3
Fedorova, A.4
-
7
-
-
85076887355
-
Apollo: Scalable and coordinated scheduling for cloud-scale computing
-
USENIX Association, Broomfield, CO
-
Eric Boutin, Jaliya Ekanayake, Wei Lin, Bing Shi, Jingren Zhou, Zhengping Qian, Ming Wu, and Lidong Zhou. 2014. Apollo: Scalable and coordinated scheduling for cloud-scale computing. In Proceedings of the 11th USENIX Symposium on Operating Systems Design and Implementation (OSDI14). USENIX Association, Broomfield, CO.
-
(2014)
Proceedings of the 11th USENIX Symposium on Operating Systems Design and Implementation (OSDI14)
-
-
Boutin, E.1
Ekanayake, J.2
Lin, W.3
Shi, B.4
Zhou, J.5
Qian, Z.6
Wu, M.7
Zhou, L.8
-
9
-
-
51849122121
-
Flow rate fairness: Dismantling a religion
-
March 2007
-
Bob Briscoe. 2007. Flow rate fairness: Dismantling a religion. SIGCOMM Comput. Commun. Rev. 37, 2 (March 2007). DOI: http://dx.doi.org/10.1145/1232919.1232926
-
(2007)
SIGCOMM Comput. Commun. Rev
, vol.37
, Issue.2
-
-
Bob Briscoe1
-
10
-
-
70450190331
-
-
Martin A. Brown. 2006. Traffic Control HOWTO. Retrieved from http://linux-ip. net/articles/Traffic-Control-HOWTO/.
-
(2006)
Traffic Control HOWTO
-
-
Brown, M.A.1
-
12
-
-
84881160871
-
A hardware evaluation of cache partitioning to improve utilization and energy-efficiency while preserving responsiveness
-
ACM, New York, NY
-
Henry Cook, Miquel Moreto, Sarah Bird, Khanh Dao, David A. Patterson, and Krste Asanovic. 2013. A hardware evaluation of cache partitioning to improve utilization and energy-efficiency while preserving responsiveness. In Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA13). ACM, New York, NY. DOI: http://dx.doi.org/10.1145/2485922.2485949
-
(2013)
Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA13)
-
-
Cook, H.1
Moreto, M.2
Bird, S.3
Dao, K.4
Patterson, D.A.5
Asanovic, K.6
-
13
-
-
85118316836
-
Reservation-based scheduling: If you're late don't blame us!
-
Carlo Curino, Djellel E. Difallah, Chris Douglas, Subru Krishnan, Raghu Ramakrishnan, and Sriram Rao. 2014. Reservation-based scheduling: If you're late don't blame us!. In Proceedings of the 5th Annual Symposium on Cloud Computing.
-
(2014)
Proceedings of the 5th Annual Symposium on Cloud Computing
-
-
Curino, C.1
Difallah, D.E.2
Douglas, C.3
Krishnan, S.4
Ramakrishnan, R.5
Rao, S.6
-
14
-
-
84873622276
-
The tail at scale
-
Feb. 2013
-
Jeffrey Dean and Luiz Andre Barroso. 2013. The tail at scale. Commun. ACM 56, 2 (Feb. 2013).
-
(2013)
Commun. ACM
, vol.56
, Issue.2
-
-
Dean, J.1
Barroso, L.A.2
-
17
-
-
77952285828
-
Fairness via source throttling: A configurable and high-performance fairness substrate for multi-core memory systems
-
ACM, New York, NY
-
Eiman Ebrahimi, Chang Joo Lee, Onur Mutlu, and Yale N Patt. 2010. Fairness via source throttling: A configurable and high-performance fairness substrate for multi-core memory systems. In Proceedings of the Fifteenth Edition of ASPLOS on Architectural Support for Programming Languages and Operating Systems (ASPLOS XV). ACM, New York, NY.
-
(2010)
Proceedings of the Fifteenth Edition of ASPLOS on Architectural Support for Programming Languages and Operating Systems (ASPLOS XV)
-
-
Ebrahimi, E.1
Lee, C.J.2
Mutlu, O.3
Patt, Y.N.4
-
21
-
-
47349094155
-
From chaos to QoS: Case studies in CMP resource management
-
March 2007
-
Fei Guo, Hari Kannan, Li Zhao, Ramesh Illikkal, Ravi Iyer, Don Newell, Yan Solihin, and Christos Kozyrakis. 2007a. From chaos to QoS: Case studies in CMP resource management. SIGARCH Comput. Arch. News 35, 1 (March 2007). DOI: http://dx.doi.org/10.1145/1241601.1241608
-
(2007)
SIGARCH Comput. Arch. News
, vol.35
, Issue.1
-
-
Guo, F.1
Kannan, H.2
Zhao, L.3
Illikkal, R.4
Iyer, R.5
Newell, D.6
Solihin, Y.7
Kozyrakis, C.8
-
22
-
-
47349085427
-
A framework for providing quality of service in chip multi-processors
-
IEEE Computer Society, Washington, DC
-
Fei Guo, Yan Solihin, Li Zhao, and Ravishankar Iyer. 2007b. A framework for providing quality of service in chip multi-processors. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 40). IEEE Computer Society, Washington, DC. DOI: http://dx.doi.org/10.1109/MICRO.2007.6
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 40)
-
-
Guo, F.1
Solihin, Y.2
Zhao, L.3
Iyer, R.4
-
23
-
-
79961040286
-
Toward dark silicon in servers
-
2011
-
Nikos Hardavellas, Michael Ferdman, Babak Falsafi, and Anastasia Ailamaki. 2011. Toward dark silicon in servers. IEEE Micro 31, 4 (2011). DOI: http://dx.doi.org/10.1109/MM.2011.77
-
(2011)
IEEE Micro
, vol.31
, Issue.4
-
-
Hardavellas, N.1
Ferdman, M.2
Falsafi, B.3
Ailamaki, A.4
-
24
-
-
34247143442
-
Communist, utilitarian, and capitalist cache policies on CMPs: Caches as a shared resource
-
ACM, New York, NY
-
Lisa R. Hsu, Steven K. Reinhardt, Ravishankar Iyer, and Srihari Makineni. 2006. Communist, utilitarian, and capitalist cache policies on CMPs: Caches as a shared resource. In Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT'06). ACM, New York, NY. DOI: http://dx.doi.org/10.1145/1152154.1152161
-
(2006)
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT'06)
-
-
Hsu, L.R.1
Reinhardt, S.K.2
Iyer, R.3
Makineni, S.4
-
26
-
-
84950342441
-
® 64 and IA-32 architectures software developer's manual
-
Sep. 2014
-
® 64 and IA-32 architectures software developer's manual. 3B: System Programming Guide, Part 2 (Sep. 2014).
-
(2014)
3B: System Programming Guide
-
-
Intel1
-
30
-
-
47349095214
-
QoS policies and architecture for cache/memory in CMP platforms
-
ACM, New York, NY
-
Ravi Iyer, Li Zhao, Fei Guo, Ramesh Illikkal, Srihari Makineni, Don Newell, Yan Solihin, Lisa Hsu, and Steve Reinhardt. 2007. QoS policies and architecture for cache/memory in CMP platforms. In Proceeding of the 2007 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS'07). ACM, New York, NY. DOI: http://dx.doi.org/10.1145/1254882.1254886
-
(2007)
Proceeding of the 2007 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS'07)
-
-
Iyer, R.1
Zhao, L.2
Guo, F.3
Illikkal, R.4
Makineni, S.5
Newell, D.6
Solihin, Y.7
Hsu, L.8
Reinhardt, S.9
-
31
-
-
79961071291
-
Web search using mobile cores: Quantifying and mitigating the price of efficiency
-
June 2010
-
Vijay Janapa Reddi, Benjamin C. Lee, Trishul Chilimbi, and Kushagra Vaid. 2010. Web search using mobile cores: Quantifying and mitigating the price of efficiency. SIGARCH Comput. Arch. News 38, 3 (June 2010). DOI: http://dx.doi.org/10.1145/1816038.1816002
-
(2010)
SIGARCH Comput. Arch. News
, vol.38
, Issue.3
-
-
Reddi, V.J.1
Lee, B.C.2
Chilimbi, T.3
Vaid, K.4
-
32
-
-
84863550145
-
A QoS-aware memory controller for dynamically balancing GPU and CPU bandwidth use in an MPSoC
-
ACM, Ne w York, NY
-
Min Kyu Jeong, Mattan Erez, Chander Sudanthi, and Nigel Paver. 2012. A QoS-aware memory controller for dynamically balancing GPU and CPU bandwidth use in an MPSoC. In Proceeding of the 49th Annual Design Automation Conference (DAC'12). ACM, Ne w York, NY. DOI: http://dx.doi.org/10.1145/2228360.2228513
-
(2012)
Proceeding of the 49th Annual Design Automation Conference (DAC'12)
-
-
Jeong, M.K.1
Erez, M.2
Sudanthi, C.3
Paver, N.4
-
33
-
-
85076717728
-
EyeQ: Practical network performance isolation at the edge
-
USENIX Association, Berkeley, CA
-
Vimalkumar Jeyakumar, Mohammad Alizadeh, David Mazières, Balaji Prabhakar, Changhoon Kim, and Albert Greenberg. 2013. EyeQ: Practical network performance isolation at the edge. In Proceeding of the 10th USENIX Conference on Networked Systems Design and Implementation. USENIX Association, Berkeley, CA.
-
(2013)
Proceeding of the 10th USENIX Conference on Networked Systems Design and Implementation
-
-
Jeyakumar, V.1
Alizadeh, M.2
Mazières, D.3
Prabhakar, B.4
Kim, C.5
Greenberg, A.6
-
34
-
-
84946059262
-
Tradeoffs between power management and tail latency in warehouse-scale applications
-
Svilen Kanev, Kim Hazelwood, Gu-Yeon Wei, and David Brooks. 2014. Tradeoffs between power management and tail latency in warehouse-scale applications. In IISWC.
-
(2014)
IISWC
-
-
Kanev, S.1
Hazelwood, K.2
Wei, G.-Y.3
Brooks, D.4
-
35
-
-
84870557554
-
Chronos: Predictable low latency for data center applications
-
ACM, New York, NY
-
Rishi Kapoor, George Porter, Malveeka Tewari, Geoffrey M. Voelker, and Amin Vahdat. 2012. Chronos: Predictable low latency for data center applications. In Proceeding of the 3rd ACM Symposium on Cloud Computing (SoCC'12). ACM, New York, NY, Article 9. DOI: http://dx.doi.org/10.1145/2391229.2391238
-
(2012)
Proceeding of the 3rd ACM Symposium on Cloud Computing (SoCC'12)
-
-
Kapoor, R.1
Porter, G.2
Tewari, M.3
Voelker, G.M.4
Vahdat, A.5
-
37
-
-
57749178620
-
System level analysis of fast, percore DVFS using on-chip switching regulators
-
Wonyoung Kim, M. S. Gupta, Gu-Yeon Wei, and D. Brooks. 2008. System level analysis of fast, percore DVFS using on-chip switching regulators. In Proceeding of the IEEE 14th International Symposium on High Performance Computer Architecture, 2008 (HPCA'08). DOI: http://dx.doi.org/10.1109/HPCA.2008.4658633
-
(2008)
Proceeding of the IEEE 14th International Symposium on High Performance Computer Architecture, 2008 (HPCA'08)
-
-
Kim, W.1
Gupta, M.S.2
Wei, G.-Y.3
Brooks, D.4
-
38
-
-
84867135575
-
Building high-level features using large scale unsupervised learning
-
Quoc Le, Marc'Aurelio Ranzato, Rajat Monga, Matthieu Devin, Kai Chen, Greg Corrado, Jeff Dean, and Andrew Ng. 2012. Building high-level features using large scale unsupervised learning. In Proceeding of the International Conference in Machine Learning.
-
(2012)
Proceeding of the International Conference in Machine Learning
-
-
Le, Q.1
Ranzato, M.2
Monga, R.3
Devin, M.4
Chen, K.5
Corrado, G.6
Dean, J.7
Ng, A.8
-
40
-
-
79953203158
-
CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs
-
May 2011
-
Bin Li, Li Zhao, Ravi Iyer, Li-Shiuan Peh, Michael Leddige, Michael Espig, Seung Eun Lee, and Donald Newell. 2011. CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs. J. Parallel Distrib. Comput. 71, 5 (May 2011). DOI: http://dx.doi.org/10.1016/j.jpdc.2010.10.013
-
(2011)
J. Parallel Distrib. Comput
, vol.71
, Issue.5
-
-
Li, B.1
Zhao, L.2
Iyer, R.3
Peh, L.-S.4
Leddige, M.5
Espig, M.6
Lee, S.E.7
Newell, D.8
-
42
-
-
84874055529
-
System-level implications of disaggregated memory
-
IEEE Computer Society, Washington, DC
-
Kevin Lim, Yoshio Turner, Jose Renato Santos, Alvin AuYoung, Jichuan Chang, Parthasarathy Ranganathan, and Thomas F. Wenisch. 2012. System-level implications of disaggregated memory. In Proceeding of the 2012 IEEE 18th International Symposium on High-Performance Computer Architecture (HPCA'12). IEEE Computer Society, Washington, DC. DOI: http://dx.doi.org/10.1109/HPCA.2012.6168955
-
(2012)
Proceeding of the 2012 IEEE 18th International Symposium on High-performance Computer Architecture (HPCA'12)
-
-
Lim, K.1
Turner, Y.2
Santos, J.R.3
AuYoung, A.4
Chang, J.5
Ranganathan, P.6
Wenisch, T.F.7
-
43
-
-
57749186047
-
Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems
-
Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang, Xiaodong Zhang, and P. Sadayappan. 2008. Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems. In Proceeding of the IEEE 14th International Symposium on High Performance Computer Architecture, 2008 (HPCA'08). DOI: http://dx.doi.org/10.1109/HPCA.2008.4658653
-
(2008)
Proceeding of the IEEE 14th International Symposium on High Performance Computer Architecture, 2008 (HPCA'08)
-
-
Lin, J.1
Lu, Q.2
Ding, X.3
Zhang, Z.4
Zhang, X.5
Sadayappan, P.6
-
45
-
-
85092783412
-
Tessellation: Space-time partitioning in a manycore client OS
-
USENIX Association, Berkeley, CA
-
Rose Liu, Kevin Klues, Sarah Bird, Steven Hofmeyr, Krste Asanović, and John Kubiatowicz. 2009. Tessellation: Space-time partitioning in a manycore client OS. In Proceedings of the 1st USENIX Conference on Hot Topics in Parallelism (HotPar'09). USENIX Association, Berkeley, CA.
-
(2009)
Proceedings of the 1st USENIX Conference on Hot Topics in Parallelism (HotPar'09)
-
-
Liu, R.1
Klues, K.2
Bird, S.3
Hofmeyr, S.4
Asanović, K.5
Kubiatowicz, J.6
-
47
-
-
84905445838
-
Towards energy proportionality for large-scale latency-critical workloads
-
IEEE Press, Piscataway, NJ
-
David Lo, Liqun Cheng, Rama Govindaraju, Luiz André Barroso, and Christos Kozyrakis. 2014. Towards energy proportionality for large-scale latency-critical workloads. In Proceeding of the 41st Annual International Symposium on Computer Architecuture (ISCA'14). IEEE Press, Piscataway, NJ.
-
(2014)
Proceeding of the 41st Annual International Symposium on Computer Architecuture (ISCA'14)
-
-
Lo, D.1
Cheng, L.2
Govindaraju, R.3
André Barroso, L.4
Kozyrakis, C.5
-
48
-
-
84958991961
-
Heracles: Improving resource efficiency at scale
-
ACM, New York, NY
-
David Lo, Liqun Cheng, Rama Govindaraju, Parthasarathy Ranganathan, and Christos Kozyrakis. 2015. Heracles: Improving resource efficiency at scale. In Proceedings of the 42nd Annual International Symposium on Computer Architecture (ISCA'15). ACM, New York, NY. DOI: http://dx.doi.org/10.1145/2749469.2749475
-
(2015)
Proceedings of the 42nd Annual International Symposium on Computer Architecture (ISCA'15)
-
-
Lo, D.1
Cheng, L.2
Govindaraju, R.3
Ranganathan, P.4
Kozyrakis, C.5
-
49
-
-
84960141974
-
Towards energy-proportional datacenter memory with mobile DRAM
-
June 2012
-
Krishna T. Malladi, Benjamin C. Lee, Frank A. Nothaft, Christos Kozyrakis, Karthika Periyathambi, and Mark Horowitz. 2012. Towards energy-proportional datacenter memory with mobile DRAM. SIGARCH Comput. Arch. News 40, 3 (June 2012). DOI: http://dx.doi.org/10.1145/2366231.2337164
-
(2012)
SIGARCH Comput. Arch. News
, vol.40
, Issue.3
-
-
Malladi, K.T.1
Lee, B.C.2
Nothaft, F.A.3
Kozyrakis, C.4
Periyathambi, K.5
Horowitz, M.6
-
52
-
-
84861923335
-
Increasing utilization in modern warehouse-scale computers using bubble-up
-
May 2012
-
J. Mars, Lingjia Tang, K. Skadron, M. L. Soffa, and R. Hundt. 2012. Increasing utilization in modern warehouse-scale computers using bubble-up. IEEE Micro. 32, 3 (May 2012). DOI: http://dx.doi.org/10.1109/MM.2012.22
-
(2012)
IEEE Micro
, vol.32
, Issue.3
-
-
Mars, J.1
Tang, L.2
Skadron, K.3
Soffa, M.L.4
Hundt, R.5
-
56
-
-
80052548963
-
Power management of online data-intensive services
-
ACM, New York, NY
-
David Meisner, Christopher M. Sadler, Luiz Andr Barroso, Wolf-Dietrich Weber, and Thomas F. Wenisch. 2011. Power management of online data-intensive services. In Proceedings of the 38th ACM Intl. Symp. on Computer Architecture. ACM, New York, NY.
-
(2011)
Proceedings of the 38th ACM Intl. Symp. on Computer Architecture
-
-
Meisner, D.1
Sadler, C.M.2
Barroso, L.A.3
Weber, W.-D.4
Wenisch, T.F.5
-
57
-
-
84970046862
-
-
Paul Menage. 2007. CGROUPS. Retrieved from https://www.kernel.org/doc/Documentation/cgroup-v1/cgroups.txt.
-
(2007)
CGROUPS
-
-
Menage, P.1
-
58
-
-
84858771269
-
Reducing memory interference in multicore systems via application-aware memory channel partitioning
-
ACM, New York, NY
-
Sai Prashanth Muralidhara, Lavanya Subramanian, Onur Mutlu, Mahmut Kandemir, and Thomas Moscibroda. 2011. Reducing memory interference in multicore systems via application-aware memory channel partitioning. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-44). ACM, New York, NY. DOI: http://dx.doi.org/10.1145/2155620.2155664
-
(2011)
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-44)
-
-
Muralidhara, S.P.1
Subramanian, L.2
Mutlu, O.3
Kandemir, M.4
Moscibroda, T.5
-
62
-
-
85074591232
-
Scaling memcache at facebook
-
USENIX, Lombard, IL
-
Rajesh Nishtala, Hans Fugal, Steven Grimm, Marc Kwiatkowski, Herman Lee, Harry C. Li, Ryan McElroy, Mike Paleczny, Daniel Peek, Paul Saab, David Stafford, Tony Tung, and Venkateshwaran Venkataramani. 2013. Scaling memcache at facebook. In Presented as part of the 10th USENIX Symposium on Networked Systems Design and Implementation (NSDI 13). USENIX, Lombard, IL, 385-398. https://www.usenix.org/conference/nsdi13/technical-sessions/presentation/nishtala.
-
(2013)
Presented as Part of the 10th USENIX Symposium on Networked Systems Design and Implementation (NSDI 13)
, pp. 385-398
-
-
Nishtala, R.1
Fugal, H.2
Grimm, S.3
Kwiatkowski, M.4
Lee, H.5
Li, H.C.6
McElroy, R.7
Paleczny, M.8
Peek, D.9
Saab, P.10
Stafford, D.11
Tung, T.12
Venkataramani, V.13
-
66
-
-
84905454486
-
A reconfigurable fabric for accelerating large-scale datacenter services
-
IEEE Press, Piscataway, NJ
-
Andrew Putnam, Adrian M. Caulfield, Eric S. Chung, Derek Chiou, Kypros Constantinides, John Demme, Hadi Esmaeilzadeh, Jeremy Fowers, Gopi Prashanth, Gopal Jan, Gray Michael, Haselman Scott Hauck, Stephen Heil, Amir Hormati, Joo-Young Kim, Sitaram Lanka, James Larus, Eric Peterson, Simon Pope, Aaron Smith, Jason Thong, Phillip Yi, and Xiao Doug Burger. 2014. A reconfigurable fabric for accelerating large-scale datacenter services. In Proceeding of the 41st Annual International Symposium on Computer Architecuture (ISCA'14). IEEE Press, Piscataway, NJ.
-
(2014)
Proceeding of the 41st Annual International Symposium on Computer Architecuture (ISCA'14)
-
-
Putnam, A.1
Caulfield, A.M.2
Chung, E.S.3
Chiou, D.4
Constantinides, K.5
Demme, J.6
Esmaeilzadeh, H.7
Fowers, J.8
Prashanth, G.9
Jan, G.10
Michael, G.11
Hauck, H.S.12
Heil, S.13
Hormati, A.14
Kim, J.-Y.15
Lanka, S.16
Larus, J.17
Peterson, E.18
Pope, S.19
Smith, A.20
Thong, J.21
Yi, P.22
Burger, X.D.23
more..
-
69
-
-
84870524514
-
Heterogeneity and dynamicity of clouds at scale: Google trace analysis
-
ACM, New York, NY
-
Charles Reiss, Alexey Tumanov, Gregory R. Ganger, Randy H. Katz, and Michael A. Kozuch. 2012. Heterogeneity and dynamicity of clouds at scale: Google trace analysis. In Proceedings of the ACM Symposium on Cloud Computing (SoCC). ACM, New York, NY.
-
(2012)
Proceedings of the ACM Symposium on Cloud Computing (SoCC)
-
-
Reiss, C.1
Tumanov, A.2
Ganger, G.R.3
Katz, R.H.4
Kozuch, M.A.5
-
71
-
-
80052521720
-
Vantage: Scalable and efficient fine-grain cache partitioning
-
June 2011
-
Daniel Sanchez and Christos Kozyrakis. 2011. Vantage: Scalable and efficient fine-grain cache partitioning. SIGARCH Comput. Archit. News 39, 3 (June 2011). DOI: http://dx.doi.org/10.1145/2024723.2000073
-
(2011)
SIGARCH Comput. Archit. News
, vol.39
, Issue.3
-
-
Sanchez, D.1
Kozyrakis, C.2
-
72
-
-
77952934358
-
Hydra: A block-mapped parallel flash memory solid-state disk architecture
-
July 2010
-
Yoon Jae Seong, Eyec Hyun Nam, Jin Hyuk Yoon, Hongseok Kim, Jin Yong Choi, Sookwan Lee, Young Hyun Bae, Jaejin Lee, Yookun Cho, and Sang Lyul Min. 2010. Hydra: A block-mapped parallel flash memory solid-state disk architecture. IEEE Trans. Comput. 59, 7 (July 2010). DOI: http://dx.doi.org/10.1109/TC.2010.63
-
(2010)
IEEE Trans. Comput
, vol.59
, Issue.7
-
-
Seong, Y.J.1
Nam, E.H.2
Yoon, J.H.3
Kim, H.4
Choi, J.Y.5
Lee, S.6
Bae, Y.H.7
Lee, J.8
Cho, Y.9
Min, S.L.10
-
73
-
-
84892655102
-
METE: Meeting end-to-end qos in multicores through system-wide resource management
-
ACM, New York, NY
-
Akbar Sharifi, Shekhar Srikantaiah, Asit K. Mishra, Mahmut Kandemir, and Chita R. Das. 2011. METE: Meeting end-to-end qos in multicores through system-wide resource management. In Proceedings of the ACM SIGMETRICS Joint International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS'11). ACM, New York, NY. DOI: http://dx.doi.org/10.1145/1993744.1993747
-
(2011)
Proceedings of the ACM SIGMETRICS Joint International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS'11)
-
-
Sharifi, A.1
Srikantaiah, S.2
Mishra, A.K.3
Kandemir, M.4
Das, C.R.5
-
77
-
-
84889639165
-
IOFlow: A software-defined storage architecture
-
ACM, New York, NY
-
Eno Thereska, Hitesh Ballani, Greg O'Shea, Thomas Karagiannis, Antony Rowstron, Tom Talpey, Richard Black, and Timothy Zhu. 2013. IOFlow: A software-defined storage architecture. In Proceedings of the 24th ACM Symposium on Operating Systems Principles (SOSP'13). ACM, New York, NY, 182-196. DOI: http://dx.doi.org/10.1145/2517349.2522723
-
(2013)
Proceedings of the 24th ACM Symposium on Operating Systems Principles (SOSP'13)
, pp. 182-196
-
-
Thereska, E.1
Ballani, H.2
O'Shea, G.3
Karagiannis, T.4
Rowstron, A.5
Talpey, T.6
Black, R.7
Zhu, T.8
-
79
-
-
84858783217
-
DejaVu: Accelerating resource allocation in virtualized environments
-
London, UK
-
Nedeljko Vasić, Dejan Novaković, Svetozar Miučin, Dejan Kostić, and Ricardo Bianchini. 2012. DejaVu: Accelerating resource allocation in virtualized environments. In Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS). London, UK.
-
(2012)
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
-
-
Vasić, N.1
Novaković, D.2
Miučin, S.3
Kostić, D.4
Bianchini, R.5
-
80
-
-
0031612547
-
Performance isolation: Sharing and isolation in shared-memory multiprocessors
-
ACM, New York, NY
-
Ben Verghese, Anoop Gupta, and Mendel Rosenblum. 1998. Performance isolation: Sharing and isolation in shared-memory multiprocessors. In Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS VIII). ACM, New York, NY, 181-192. DOI: http://dx.doi.org/10.1145/291069.291044
-
(1998)
Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS VIII)
, pp. 181-192
-
-
Verghese, B.1
Gupta, A.2
Rosenblum, M.3
-
81
-
-
80053169446
-
Better never than late: Meeting deadlines in datacenter networks
-
ACM, New York, NY
-
Christo Wilson, Hitesh Ballani, Thomas Karagiannis, and Ant Rowtron. 2011. Better never than late: Meeting deadlines in datacenter networks. In Proceedings of the ACM SIGCOMM 2011 Conference (SIGCOMM'11). ACM, New York, NY. DOI: http://dx.doi.org/10.1145/2018436.2018443
-
(2011)
Proceedings of the ACM SIGCOMM 2011 Conference (SIGCOMM'11)
-
-
Wilson, C.1
Ballani, H.2
Karagiannis, T.3
Rowtron, A.4
-
86
-
-
84877690617
-
CPI2: CPU performance isolation for shared compute clusters
-
Prague, Czech Republic
-
Xiao Zhang, Eric Tune, Robert Hagmann, Rohit Jnagal, Vrigo Gokhale, and John Wilkes. 2013. CPI2: CPU performance isolation for shared compute clusters. In Proceedings of the 8th ACM European Conference on Computer Systems (EuroSys). Prague, Czech Republic.
-
(2013)
Proceedings of the 8th ACM European Conference on Computer Systems (EuroSys)
-
-
Zhang, X.1
Tune, E.2
Hagmann, R.3
Jnagal, R.4
Gokhale, V.5
Wilkes, J.6
|