-
2
-
-
33846535493
-
The m5 simulator: Modeling networked systems
-
Nathan L. Binker t, Ronald G. Dreslinski, Lisa R. Hsu, Kevin T. Lim, Ali G. Saidi, and Steven K. Reinhardt. 2006. The M5 simulator: Modeling networked systems. IEEE Micro 26, 4, 52-60.
-
(2006)
IEEE Micro
, vol.26
, Issue.4
, pp. 52-60
-
-
Binkert, N.L.1
Dreslinski, R.G.2
Hsu, L.R.3
Lim, K.T.4
Saidi, A.G.5
Reinhardt, S.K.6
-
6
-
-
34548271550
-
Performance implications of single thread migration on a chip multi-core
-
Theofanis Constantinou, Yiannakis Sazeides, Pierre Michaud, Damien Fetis, and Andre Seznec. 2005. Performance implications of single thread migration on a chip multi-core. SIGARCH Comput. Archit. News 33, 4, 80-91.
-
(2005)
SIGARCH Comput. Archit. News
, vol.33
, Issue.4
, pp. 80-91
-
-
Constantinou, T.1
Sazeides, Y.2
Michaud, P.3
Fetis, D.4
Seznec, A.5
-
8
-
-
70350055176
-
Dynamic thermal management in 3d multicore architectures
-
Ayse K. Coskun, Jose L. Ayala, David Atienza, Tajana S. Rosing, and Yusuf Leblebici. 2009a . Dynamic thermal management in 3D multicore architectures. In Proceedings of the Design, Automation and Test in Europe Conference (DATE'09). 1410-1415.
-
(2009)
Proceedings of the Design, Automation and Test in Europe Conference (DATE'09
, pp. 1410-1415
-
-
Coskun, A.K.1
Ayala, J.L.2
Atienza, D.3
Rosing, T.S.4
Leblebici, Y.5
-
10
-
-
84867488506
-
Application-to-core mapping policies to reduce memory interference in multi-core systems
-
Reetuparna Das, Rachata Ausavarungnirun, Onur Mutlu, Akhilesh Kumar, and Mani Azimi. 2012. Application-to-core mapping policies to reduce memory interference in multi-core systems. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT'12). 455-456.
-
(2012)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT'12
, pp. 455-456
-
-
Das, R.1
Ausavarungnirun, R.2
Mutlu, O.3
Kumar, A.4
Azimi, M.5
-
13
-
-
84860326635
-
Dynamically heterogeneo us cores through 3d resource pooling
-
Houman Homayoun, Vasileios Kontorinis, Amirali Shayan, Ta-Wei Lin, and Dean M. Tullsen. 2012. Dynamically heterogeneo us cores through 3D resource pooling. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'12). 1-12.
-
(2012)
Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'12
, pp. 1-12
-
-
Homayoun, H.1
Kontorinis, V.2
Shayan, A.3
Lin, T.-W.4
Tullsen, D.M.5
-
14
-
-
77952123736
-
A 48-core ia-32messagepassing processor with dvfs in 45nm cmos
-
John Howard, Saurabh Dighe, Sriram Vangal,G. Ruhl, Shekhar Borkar, et al. 2010. A 48-Core IA-32messagepassing processor with DVFS in 45nm CMOS. In Proceedings of the International Solid-State Circuits Conference (ISSCC'10). 108-109.
-
(2010)
Proceedings of the International Solid-State Circuits Conference (ISSCC'10
, pp. 108-109
-
-
Howard, J.1
Dighe, S.2
Vangal, S.3
Ruhl, G.4
Borkar, S.5
-
19
-
-
76749146060
-
Mcpat: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
Sheng Li, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, and Norman P. Jouppi. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the International Symposium on Microarchitecture (MICRO'09). 469-480.
-
(2009)
Proceedings of the International Symposium on Microarchitecture (MICRO'09
, pp. 469-480
-
-
Li, S.1
Ahn, J.H.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
22
-
-
70450248384
-
Dynamic m ulticore resource management: A machine learning approach
-
Jose F. Martinez and Engin Ipek. 2009. Dynamic m ulticore resource management: A machine learning approach. IEEE Micro 29, 5, 8-17.
-
(2009)
IEEE Micro
, vol.29
, Issue.5
, pp. 8-17
-
-
Martinez, J.F.1
Ipek, E.2
-
23
-
-
84863550102
-
Optimizing energy efficiency of 3-d multicore systems with s tacked dram under power and thermal constraints
-
Jie Meng,Katsutoshi Kawakami,Ayse K. Coskun 2012 Optimizing energy efficiency of 3-D multicore systems with s tacked DRAM under power and thermal constraints In Proceedings of the Design Automation Conference (DAC'12). 648-655.
-
(2012)
Proceedings of the Design Automation Conference (DAC'12
, pp. 648-655
-
-
Meng, J.1
Kawakami, K.2
Coskun, A.K.3
-
25
-
-
33947129173
-
Dynamic Resizing of superscalar datapath components for energy Efficiency
-
Dmitry Ponomarev, Gurhan Kucuk, and Kanad Ghose. 2006. Dynamic resizing of superscalar datapath components for energy efficiency. IEEE Trans. Comput. 55, 2, 199-213.
-
(2006)
IEEE Trans. Comput
, vol.55
, Issue.2
, pp. 199-213
-
-
Ponomarev, D.1
Kucuk, G.2
Ghose, K.3
-
26
-
-
34548042910
-
Utility-based ca che partitioning: A low-overhead, highperformance, runtime mechanism to partition shared caches
-
Moinuddin K. Qureshi and Yale N. Patt. 2006. Utility-based ca che partitioning: A low-overhead, highperformance, runtime mechanism to partition shared caches. In Proceedings of the International Symposium on Microarchitecture (MICRO'06). 423-432.
-
(2006)
Proceedings of the International Symposium on Microarchitecture (MICRO'06
, pp. 423-432
-
-
Qureshi, M.K.1
Patt, Y.N.2
-
28
-
-
0038684860
-
Temperature-aware microarchitecture
-
Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, and David Tarj an. 2003. Temperature-aware microarchitecture. In Proceedings of the International Symposium on Computer Architecture (ISCA'03). 2-13.
-
(2003)
Proceedings of the International Symposium on Computer Architecture (ISCA'03
, pp. 2-13
-
-
Skadron, K.1
Stan, M.R.2
Huang, W.3
Velusamy, S.4
Sankaranarayanan, K.5
Tarjan, D.6
-
30
-
-
64949106457
-
A novel architecture of the 3d stackedmram l2 cache for cmps
-
Guangyu Sun, Xiangyu Dong, Yuan Xie, Jian Li, and Yiran Chen. 2009. A novel architecture of the 3D stackedMRAM L2 cache for CMPs. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'09). 239-249.
-
(2009)
Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'09
, pp. 239-249
-
-
Sun, G.1
Dong, X.2
Xie, Y.3
Li, J.4
Chen, Y.5
-
32
-
-
40349093471
-
Molecular caches: A caching structure for dynamic creation of application specific heterogeneous cache regions
-
Keshavan Varadarajan, S. K. Nandy, Vishal Sharda, Amrutur Bharadwaj, Ravi Iyer, Srihari Makineni, and Donald Newell. 2006. Molecular caches: A caching structure for dynamic creation of application specific heterogeneous cache regions. In Proceedings of the Internat ional Symposium on Microarchitecture (MICRO'06). 433-442.
-
(2006)
Proceedings of the Internat Ional Symposium on Microarchitecture (MICRO'06
, pp. 433-442
-
-
Varadarajan, K.1
Nandy, S.K.2
Sharda, V.3
Bharadwaj, A.4
Iyer, R.5
Makineni, S.6
Newell, D.7
-
33
-
-
80053645511
-
Low-power and reliable clock network design for throughsilicon via (tsv) based 3d ics
-
Xin Zhao, Jacob Minz, and Sung-Kyu Lim. 2011. Low-power and reliable clock network design for throughsilicon via (TSV) based 3D ICs. IEEE Trans. Components Packag. Manufact. Technol. 1, 2, 247-259.
-
(2011)
IEEE Trans. Components Packag. Manufact. Technol
, vol.1
, Issue.2
, pp. 247-259
-
-
Zhao, X.1
Minz, J.2
Lim, S.-K.3
-
34
-
-
47849132667
-
Three-dimensional chipmultiprocessor run-time thermalmanagement
-
Changyun Zhu, Zhenyu Gu, Li Shang , Robert P. Dick, and Russ Joseph. 2008. Three-dimensional chipmultiprocessor run-time thermalmanagement. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 27, 8.
-
(2008)
IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst
, vol.27
, pp. 8
-
-
Zhu, C.1
Gu, Z.2
Shang, L.3
Dick, R.P.4
Joseph, R.5
|