-
4
-
-
77953118367
-
Energy-efficient variable-flow liquid cooling in 3D stacked architectures
-
A. K. Coskun, D. Atienza, T. Rosing, T. Brunschwiler, and B. Michel. Energy-efficient variable-flow liquid cooling in 3D stacked architectures. In Design Automation and Test in Europe, 2010.
-
(2010)
Design Automation and Test in Europe
-
-
Coskun, A.K.1
Atienza, D.2
Rosing, T.3
Brunschwiler, T.4
Michel, B.5
-
5
-
-
77954518620
-
Thermal-aware floorplanning exploration for 3D multi-core architectures
-
D. Cuesta, J. Ayala, J. Hidalgo, M. Poncino, A. Acquaviva, and E. Macii. Thermal-aware floorplanning exploration for 3D multi-core architectures. In Proc. of GLVLSI, 2010.
-
Proc. of GLVLSI, 2010
-
-
Cuesta, D.1
Ayala, J.2
Hidalgo, J.3
Poncino, M.4
Acquaviva, A.5
Macii, E.6
-
6
-
-
47249094055
-
System-level performance metrics for multiprogram workloads
-
May
-
S. Eyerman and L. Eeckhout. System-level performance metrics for multiprogram workloads. IEEE Micro, May 2008.
-
(2008)
IEEE Micro
-
-
Eyerman, S.1
Eeckhout, L.2
-
7
-
-
66749108665
-
The stagenet fabric for constructing resilient multicore systems
-
S. Gupta, S. Feng, A. Ansari, J. A. Blome, and S. A. Mahlke. The stagenet fabric for constructing resilient multicore systems. In International Symposium on Microarchitecture, 2008.
-
International Symposium on Microarchitecture, 2008
-
-
Gupta, S.1
Feng, S.2
Ansari, A.3
Blome, J.A.4
Mahlke, S.A.5
-
8
-
-
33846219890
-
Multiobjective microarchitectural floorplanning for 2-D and 3-D ICs
-
M. Healy, M. Vittes, M. Ekpanyapong, C. S. Ballapuram, S. K. Lim, H.-H. S. Lee, and G. H. Loh. Multiobjective microarchitectural floorplanning for 2-D and 3-D ICs. In Proc. of ICCAD, 2007.
-
Proc. of ICCAD, 2007
-
-
Healy, M.1
Vittes, M.2
Ekpanyapong, M.3
Ballapuram, C.S.4
Lim, S.K.5
Lee, H.-H.S.6
Loh, G.H.7
-
9
-
-
78649888644
-
Design and analysis of 3D-maps: A many-core 3D processor with stacked memory
-
M. B. Healy, K. Athikulwongse, R. Goel, M. M. Hossain, D. H. Kim, Y.-J. Lee, D. L. Lewis, T.-W. Lin, C. Liu, M. Jung, B. Ouellette, M. Pathak, H. Sane, G. Shen, D. H. Woo, X. Zhao, G. H. Loh, H.-H. S. Lee, and S. K. Lim. Design and analysis of 3D-maps: A many-core 3D processor with stacked memory. In Proc. of CICC, 2010.
-
Proc. of CICC, 2010
-
-
Healy, M.B.1
Athikulwongse, K.2
Goel, R.3
Hossain, M.M.4
Kim, D.H.5
Lee, Y.-J.6
Lewis, D.L.7
Lin, T.-W.8
Liu, C.9
Jung, M.10
Ouellette, B.11
Pathak, M.12
Sane, H.13
Shen, G.14
Woo, D.H.15
Zhao, X.16
Loh, G.H.17
Lee, H.-H.S.18
Lim, S.K.19
-
11
-
-
78650933951
-
Exploring the rogue wave phenomenon in 3D power distribution networks
-
X. Hu, P. Du, and C.-K. Cheng. Exploring the rogue wave phenomenon in 3D power distribution networks. In Proc. of EPEPS, 2010.
-
Proc. of EPEPS, 2010
-
-
Hu, X.1
Du, P.2
Cheng, C.-K.3
-
12
-
-
84860327635
-
PowerPC 750 RISC
-
IBM Corporation. Aug.
-
IBM Corporation. PowerPC 750 RISC. In Microprocessor Technical Summary, Aug. 2003.
-
(2003)
Microprocessor Technical Summary
-
-
-
16
-
-
47349132683
-
Composable lightweight processors
-
C. Kim, S. Sethumadhavan, M. S. Govindan, N. Ranganathan, D. Gulati, D. Burger, and S. W. Keckler. Composable lightweight processors. In International Symposium on Microarchitecture, 2007.
-
International Symposium on Microarchitecture, 2007
-
-
Kim, C.1
Sethumadhavan, S.2
Govindan, M.S.3
Ranganathan, N.4
Gulati, D.5
Burger, D.6
Keckler, S.W.7
-
17
-
-
84944403811
-
Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction
-
R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In International Symposium on Microarchitecture, 2003.
-
International Symposium on Microarchitecture, 2003
-
-
Kumar, R.1
Farkas, K.I.2
Jouppi, N.P.3
Ranganathan, P.4
Tullsen, D.M.5
-
19
-
-
4644370318
-
Single-ISA Heterogeneous Multi-core Architectures for Multithreaded Workload Performance
-
R. Kumar, D. M. Tullsen, P. Ranganathan, N. P. Jouppi, and K. I. Farkas. Single-ISA Heterogeneous Multi-core Architectures for Multithreaded Workload Performance. In International Symposium on Computer Architecture, June 2004.
-
International Symposium on Computer Architecture, June 2004
-
-
Kumar, R.1
Tullsen, D.M.2
Ranganathan, P.3
Jouppi, N.P.4
Farkas, K.I.5
-
20
-
-
33845914023
-
Design and management of 3D chip multi-processors using network-in-memory
-
F. Li, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan, and M. Kandemir. Design and management of 3D chip multi-processors using network-in-memory. In International Symposium of Computer Architecture, 2006.
-
International Symposium of Computer Architecture, 2006
-
-
Li, F.1
Nicopoulos, C.2
Richardson, T.3
Xie, Y.4
Narayanan, V.5
Kandemir, M.6
-
21
-
-
34548359365
-
Processor design in 3D diestacking technologies
-
May
-
G. H. Loh, Y. Xie, and B. Black. Processor design in 3D diestacking technologies. IEEE Micro, 27:31-48, May 2007.
-
(2007)
IEEE Micro
, vol.27
, pp. 31-48
-
-
Loh, G.H.1
Xie, Y.2
Black, B.3
-
23
-
-
64949203821
-
Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy
-
N. Madan, L. Zhao, N. Muralimanohar, A. Udipi, R. Balasubramonian, R. Iyer, S. Makineni, and D. Newell. Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy. In High-Performance Computer Architecture, 2009.
-
(2009)
High-Performance Computer Architecture
-
-
Madan, N.1
Zhao, L.2
Muralimanohar, N.3
Udipi, A.4
Balasubramonian, R.5
Iyer, R.6
Makineni, S.7
Newell, D.8
-
25
-
-
33947129173
-
Dynamic resizing of superscalar datapath components for energy efficiency
-
Feb.
-
D. V. Ponomarev, G. Kucuk, and K. Ghose. Dynamic resizing of superscalar datapath components for energy efficiency. IEEE Trans. Computers, Feb. 2006.
-
(2006)
IEEE Trans. Computers
-
-
Ponomarev, D.V.1
Kucuk, G.2
Ghose, K.3
-
26
-
-
34547673128
-
Thermal herding: Microarchitecture techniques for controlling hotspots in high-performance 3D-integrated processors
-
K. Puttaswamy and G. Loh. Thermal herding: Microarchitecture techniques for controlling hotspots in high-performance 3D-integrated processors. In High-Performance Computer Architecture, 2007.
-
(2007)
High-Performance Computer Architecture
-
-
Puttaswamy, K.1
Loh, G.2
-
27
-
-
33750907341
-
Dynamic instruction schedulers in a 3-dimensional integration technology
-
K. Puttaswamy and G. H. Loh. Dynamic instruction schedulers in a 3-dimensional integration technology. In Proc. of GLSVLSI, 2006.
-
Proc. of GLSVLSI, 2006
-
-
Puttaswamy, K.1
Loh, G.H.2
-
28
-
-
61649128557
-
3D chip-stacking technology with through-silicon vias and low-volume lead-free interconnections
-
Nov.
-
K. Sakuma, P. S. Andry, C. K. Tsang, S. L. Wright, B. Dang, C. S. Patel, B. C. Webb, J. Maria, E. J. Sprogis, S. K. Kang, R. J. Polastre, R. R. Horton, and J. U. Knickerbocker. 3D chip-stacking technology with through-silicon vias and low-volume lead-free interconnections. In IBM Journal of Research and Development, Nov. 2008.
-
(2008)
IBM Journal of Research and Development
-
-
Sakuma, K.1
Andry, P.S.2
Tsang, C.K.3
Wright, S.L.4
Dang, B.5
Patel, C.S.6
Webb, B.C.7
Maria, J.8
Sprogis, E.J.9
Kang, S.K.10
Polastre, R.J.11
Horton, R.R.12
Knickerbocker, J.U.13
-
29
-
-
0038684860
-
Temperature-aware microarchitecture
-
K. Skadron, M. R. Stan,W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware microarchitecture. In International Symposium on Computer Architecture, 2003.
-
International Symposium on Computer Architecture, 2003
-
-
Skadron, K.1
Stan, M.R.2
Huang, W.3
Velusamy, S.4
Sankaranarayanan, K.5
Tarjan, D.6
-
31
-
-
84860319290
-
-
Tezzaron Semiconductor. www.tezzaron.com.
-
-
-
-
33
-
-
67649661466
-
-
Technical report, HPL
-
S. Thoziyoor, N. Muralimanohar, J. H. Ahn, and N. P.Jouppi. CACTI 5.1. Technical report, HPL, 2008.
-
(2008)
CACTI 5.1
-
-
Thoziyoor, S.1
Muralimanohar, N.2
Ahn, J.H.3
Jouppi, N.P.4
-
34
-
-
0030374418
-
Simulation and modeling of a simultaneous multithreading processor
-
D. M. Tullsen. Simulation and modeling of a simultaneous multithreading processor. In Proc. of CMG Conference, 1996.
-
Proc. of CMG Conference, 1996
-
-
Tullsen, D.M.1
-
35
-
-
41549108607
-
Architecting microprocessor components in 3D design space
-
B. Vaidyanathan, W.-L. Hung, F. Wang, Y. Xie, V. Narayanan, and M. Irwin. Architecting microprocessor components in 3D design space. In Proc. of VLSID, 2007.
-
Proc. of VLSID, 2007
-
-
Vaidyanathan, B.1
Hung, W.-L.2
Wang, F.3
Xie, Y.4
Narayanan, V.5
Irwin, M.6
-
37
-
-
77952554764
-
An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth
-
D. H. Woo, N. H. Seong, D. Lewis, and H.-H. Lee. An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth. In High-Performance Computer Architecture, 2010.
-
(2010)
High-Performance Computer Architecture
-
-
Woo, D.H.1
Seong, N.H.2
Lewis, D.3
Lee, H.-H.4
-
38
-
-
70349295866
-
Chip scale camera module (cscm) using through-silicon-via (TSV)
-
H. Yoshikawa, A. Kawasaki, Tomoaki, Iiduka, Y. Nishimura, K. Tanida, K. Akiyama, M. Sekiguchi, M. Matsuo, S. Fukuchi, and K. Takahashi. Chip scale camera module (cscm) using through-silicon-via (TSV). In Proc. of ISSCC, 2009.
-
Proc. of ISSCC, 2009
-
-
Yoshikawa, H.1
Kawasaki, A.2
Tomoaki3
Iiduka4
Nishimura, Y.5
Tanida, K.6
Akiyama, K.7
Sekiguchi, M.8
Matsuo, M.9
Fukuchi, S.10
Takahashi, K.11
-
39
-
-
55949114476
-
Thermal management for 3D processors via task scheduling
-
X. Zhou, Y. Xu, Y. Du, Y. Zhang, and J. Yang. Thermal management for 3D processors via task scheduling. In Proc. of ICPP, 2008.
-
Proc. of ICPP, 2008
-
-
Zhou, X.1
Xu, Y.2
Du, Y.3
Zhang, Y.4
Yang, J.5
|