-
1
-
-
84864753536
-
-
Tilera Corporation, San Jose CA. [Online]. Available
-
Tilera Corporation, San Jose, CA, "Tile processor architecture: Technology brief," 2008. [Online]. Available: http://www.tilera.com/pdf/ ProductBrief-TileArchitecture-Web-v4.pdf
-
(2008)
Tile Processor Architecture: Technology Brief
-
-
-
3
-
-
34547261834
-
Thousand core chips - A technology perspective
-
DOI 10.1109/DAC.2007.375263, 4261282, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
-
S. Borkar, "Thousand core chips-A technology perspective," in Proc. Design Autom. Conf. (DAC), 2007, pp. 746-749. (Pubitemid 47130064)
-
(2007)
Proceedings - Design Automation Conference
, pp. 746-749
-
-
Borkar, S.1
-
4
-
-
0033719421
-
Wattch: A framework for architectural level power analysis and optimizations
-
D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: A framework for architectural level power analysis and optimizations," in Proc. Int. Symp. Comput. Arch. (ISCA), 2000, pp. 83-94.
-
(2000)
Proc. Int. Symp. Comput. Arch. (ISCA)
, pp. 83-94
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
-
5
-
-
77956210093
-
Performance and power modeling in amulti-programmed multi-core environment
-
X. Chen, C. Xu, R. Dick, and Z. Mao, "Performance and power modeling in amulti-programmed multi-core environment," in Proc. Design Autom. Conf. (DAC), 2010, pp. 813-818.
-
(2010)
Proc. Design Autom. Conf. (DAC)
, pp. 813-818
-
-
Chen, X.1
Xu, C.2
Dick, R.3
Mao, Z.4
-
6
-
-
36949000833
-
Thermal-aware task scheduling at the system software level
-
DOI 10.1145/1283780.1283826, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
-
J. Choi, C. Cher, H. Franke, H. Hamann, A. Weger, and P. Bose, "Thermal aware task scheduling at the system software level," in Proc. Int. Symp. Low Power Electron. Design, 2007, pp. 213-218. (Pubitemid 350239928)
-
(2007)
Proceedings of the International Symposium on Low Power Design
, pp. 213-218
-
-
Choi, J.1
Cher, C.-Y.2
Franke, H.3
Hamann, H.4
Weger, A.5
Bose, P.6
-
7
-
-
77956219932
-
Consistent runtime thermal prediction and control through workload phase detection
-
R. Cochran and S. Reda, "Consistent runtime thermal prediction and control through workload phase detection," in Proc. Design Autom. Conf. (DAC), 2010, pp. 62-67.
-
(2010)
Proc. Design Autom. Conf. (DAC)
, pp. 62-67
-
-
Cochran, R.1
Reda, S.2
-
8
-
-
34548335311
-
Temperature aware task scheduling in MPSoCs
-
DOI 10.1109/DATE.2007.364540, 4212050, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
-
A. Coskun, T. Rosing, and K. Whisnant, "Temperature aware task scheduling in MPSoCs," in Proc. Design Autom. Test Euro. (DATE), 2007, pp. 1659-1664. (Pubitemid 47334202)
-
(2007)
Proceedings -Design, Automation and Test in Europe, DATE
, pp. 1659-1664
-
-
Coskun, A.K.1
Rosing, T.S.2
Whisnant, K.3
-
9
-
-
70349754155
-
Utilizing predictors for efficient thermal management in multiprocessor SoCs
-
Oct.
-
A. Coskun, T. Rosing, and K. Gross, "Utilizing predictors for efficient thermal management in multiprocessor SoCs," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 28, no. 10, pp. 1503-1516, Oct. 2009.
-
(2009)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.28
, Issue.10
, pp. 1503-1516
-
-
Coskun, A.1
Rosing, T.2
Gross, K.3
-
11
-
-
33845904113
-
Techniques for multicore thermal management: Classification and new exploration
-
DOI 10.1109/ISCA.2006.39, 1635942, Proceedings - 33rd International Symposium on Computer Architecture,ISCA 2006
-
J. Donald and M. Martonosi, "Techniques for multicore thermal management: Classification and new exploration," in Proc. Int. Symp. Comput. Arch. (ISCA), 2006, pp. 78-88. (Pubitemid 46016606)
-
(2006)
Proceedings - International Symposium on Computer Architecture
, vol.2006
, pp. 78-88
-
-
Donald, J.1
Martonosi, M.2
-
12
-
-
76349084930
-
TAPE: Thermal-Aware agentbased power economy for multi/many-core architectures
-
T. Ebi, M. Al Faruque, and J. Henkel, "TAPE: Thermal-Aware agentbased power economy for multi/many-core architectures," in Proc. Int. Conf. Comput.-Aided Design (ICCAD), 2009, pp. 302-309.
-
(2009)
Proc. Int. Conf. Comput.-Aided Design (ICCAD)
, pp. 302-309
-
-
Ebi, T.1
Al Faruque, M.2
Henkel, J.3
-
13
-
-
77952123736
-
A 48-Core IA-32 message-passing processor with DVFS in 45 nm CMOS
-
J. Howard, S. Dighe, Y. Hoskote, S. Vangal, D. Finan, G. Ruhl, D. Jenkins, H. Wilson, N. Borkar, G. Schrom, F. Pailet, S. Jain, T. Jacob, S. Yada, S. Marella, P. Salihundam, V. Erraguntla, M. Konow, M. Riepen, G. Droege, J. Lindemann, M. Gries, T. Apel, K. Henriss, T. Lund-Larsen, S. Steibl, S. Borkar, V. De, R. Van DerWijngaart, and T. Mattson, "A 48-Core IA-32 message-passing processor with DVFS in 45 nm CMOS," in Proc. Int. Solid-State Circuits Conf. (ISSCC), 2010, pp. 108-109.
-
(2010)
Proc. Int. Solid-State Circuits Conf. (ISSCC)
, pp. 108-109
-
-
Howard, J.1
Dighe, S.2
Hoskote, Y.3
Vangal, S.4
Finan, D.5
Ruhl, G.6
Jenkins, D.7
Wilson, H.8
Borkar, N.9
Schrom, G.10
Pailet, F.11
Jain, S.12
Jacob, T.13
Yada, S.14
Marella, S.15
Salihundam, P.16
Erraguntla, V.17
Konow, M.18
Riepen, M.19
Droege, G.20
Lindemann, J.21
Gries, M.22
Apel, T.23
Henriss, K.24
Lund-Larsen, T.25
Steibl, S.26
Borkar, S.27
De, V.28
Mattson, T.29
more..
-
14
-
-
84954421164
-
Energy-Aware mapping for tile-based NoC architectures under performance constraints
-
J. Hu and R. Marculescu, "Energy-Aware mapping for tile-based NoC architectures under performance constraints," in Proc. Asia South Pacific Design Autom. Conf. (ASP-DAC), 2003, pp. 233-239.
-
(2003)
Proc. Asia South Pacific Design Autom. Conf. (ASP-DAC)
, pp. 233-239
-
-
Hu, J.1
Marculescu, R.2
-
15
-
-
51549099638
-
Many-core design from a thermal perspective
-
W. Huang, M. Stan, K. Sankaranarayanan, R. Ribando, and K. Skadron, "Many-core design from a thermal perspective," in Proc. Design Autom. Conf. (DAC), 2008, pp. 746-749.
-
(2008)
Proc. Design Autom. Conf. (DAC)
, pp. 746-749
-
-
Huang, W.1
Stan, M.2
Sankaranarayanan, K.3
Ribando, R.4
Skadron, K.5
-
16
-
-
70350712434
-
Dynamic thermal management via architectural adaption
-
R. Jayaseelan and T. Mitra, "Dynamic thermal management via architectural adaption," in Proc. Design Autom. Conf. (DAC), 2009, pp. 484-489.
-
(2009)
Proc. Design Autom. Conf. (DAC)
, pp. 484-489
-
-
Jayaseelan, R.1
Mitra, T.2
-
18
-
-
66549114708
-
Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives
-
Jan.
-
R. Marculescu, U. Ogras, L. Peh, N. Jerger, and Y. Hoskote, "Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 28, no. 1, pp. 3-21, Jan. 2009.
-
(2009)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.28
, Issue.1
, pp. 3-21
-
-
Marculescu, R.1
Ogras, U.2
Peh, L.3
Jerger, N.4
Hoskote, Y.5
-
19
-
-
70350070335
-
A study of thread migration in temperature-constrained multicores
-
Jun.
-
P. Michaud, A. Seznec, D. Fetis, Y. Sazeides, and T. Constantinou, "A study of thread migration in temperature-constrained multicores," ACM Trans. Arch. Code Optim. (TACO), vol. 4, no. 2, pp. 9-1-9-28, Jun. 2007.
-
(2007)
ACM Trans. Arch. Code Optim. (TACO)
, vol.4
, Issue.2
, pp. 91-928
-
-
Michaud, P.1
Seznec, A.2
Fetis, D.3
Sazeides, Y.4
Constantinou, T.5
-
20
-
-
79958029710
-
A Unified operating system for clouds and manycore: FOS
-
Nov.
-
D.Wentzlaff, C. Gruenwald, N. Beckmann, K.Modzelewski, A. Belay, L. Youseff, J.Miller, and A. Agarwal, "A Unified operating system for clouds and manycore: FOS," MIT-CSAIL-TR-2009-059, Nov. 2009.
-
(2009)
Mit-Csail-Tr-2009-059
-
-
Wentzlaff, D.1
Gruenwald, C.2
Beckmann, N.3
Modzelewski, K.4
Belay, A.5
Youseff, L.6
Miller, J.7
Agarwal, A.8
-
21
-
-
49749145589
-
Thermal balancing policy for streaming computing on multiprocessor architectures
-
F. Mulas, M. Pittau, M. Buttu, S. Carta, A. Acquaviva, L. Benini, D. Atienza, and G. De Micheli, "Thermal balancing policy for streaming computing on multiprocessor architectures," in Proc. Design Autom. Test Euro. (DATE), 2008, pp. 734-739.
-
(2008)
Proc. Design Autom. Test Euro. (DATE)
, pp. 734-739
-
-
Mulas, F.1
Pittau, M.2
Buttu, M.3
Carta, S.4
Acquaviva, A.5
Benini, L.6
Atienza, D.7
De Micheli, G.8
-
22
-
-
38849083845
-
Temperature-aware processor frequency assignment for MPSoCs using convex optimization
-
DOI 10.1145/1289816.1289845, CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
-
S. Murali, A. Mutapcic, D. Atienza, R. Gupta, S. Boyd, and G. De Micheli, "Temperature-Aware processor frequency assignment forMPSoCs using convex optimization," in Proc. CODES+ISSS, Sep. 2007, pp. 111-116. (Pubitemid 351203952)
-
(2007)
CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
, pp. 111-116
-
-
Murali, S.1
Mutapcic, A.2
Atienza, D.3
Gupta, R.4
Boyd, S.5
De Micheli, G.6
-
23
-
-
4444294771
-
Operating system controlled network on chip
-
V. Nollet, T. Marescaux, and D. Verkest, "Operating system controlled network on chip," in Proc. Design Autom. Conf. (DAC), 2004, pp. 256-259.
-
(2004)
Proc. Design Autom. Conf. (DAC)
, pp. 256-259
-
-
Nollet, V.1
Marescaux, T.2
Verkest, D.3
-
24
-
-
70349752905
-
Fast and accurate prediction of the steady state throughput of multi-core processors under thermal constraints
-
Oct.
-
R. Rao and S. Vrudhula, "Fast and accurate prediction of the steady state throughput of multi-core processors under thermal constraints," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 28, no. 10, pp. 1559-1572, Oct. 2009.
-
(2009)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.28
, Issue.10
, pp. 1559-1572
-
-
Rao, R.1
Vrudhula, S.2
-
25
-
-
21644444692
-
Thermal modeling, characterization and management of on-chip networks
-
Proceedings of the 37th Annual International Symposium on Microarchitecture, MICRO-37 2004
-
L. Shang, L. Peh, A. Kumar, and N. Jha, "Thermal modeling, characterization and management of on-chip networks," in Proc. Int. Symp. Microarch., 2004, pp. 67-78. (Pubitemid 40927687)
-
(2004)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 67-78
-
-
Shang, L.1
Peh, L.-S.2
Kumar, A.3
Jha, N.K.4
-
26
-
-
77951221739
-
Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor
-
S. Sharifi, A. Coskun, and T. Rosing, "Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor," in Proc. Asia South Pacific Design Autom. Conf. (ASPDAC), 2010, pp. 873-878.
-
(2010)
Proc. Asia South Pacific Design Autom. Conf. (ASPDAC)
, pp. 873-878
-
-
Sharifi, S.1
Coskun, A.2
Rosing, T.3
-
27
-
-
85009352442
-
Temperature-Aware microarchitecture: Modeling and implementation
-
Mar.
-
K. Skadron, M. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, and D. Tarjan, "Temperature-Aware microarchitecture: Modeling and implementation," ACM Trans. Arch. Code Opt., vol. 1, no. 1, pp. 94-125, Mar. 2004.
-
(2004)
ACM Trans. Arch. Code Opt.
, vol.1
, Issue.1
, pp. 94-125
-
-
Skadron, K.1
Stan, M.2
Sankaranarayanan, K.3
Huang, W.4
Velusamy, S.5
Tarjan, D.6
-
28
-
-
34548858682
-
An 80-Tile 1.28 TFLOPS network-on-chip in 65 nm CMOS
-
S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Lyer, A. Singh, T. Jacob, S. Jain, S. Venkataraman, Y. Hoskote, and N. Borkar, "An 80-Tile 1.28 TFLOPS network-on-chip in 65 nm CMOS," in Proc. Int. Solid-State Circuits Conf. (ISSCC), 2007, pp. 98-589.
-
(2007)
Proc. Int. Solid-State Circuits Conf. (ISSCC)
, pp. 98-589
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Lyer, P.8
Singh, A.9
Jacob, T.10
Jain, S.11
Venkataraman, S.12
Hoskote, Y.13
Borkar, N.14
-
29
-
-
70450260876
-
Temperature-constrained power control for chip multiprocessors with online model estimation
-
Y. Wang, K. Ma, and X. Wang, "Temperature-constrained power control for chip multiprocessors with online model estimation," in Proc. Int. Symp. Comput. Arch. (ISCA), 2009, pp. 314-324.
-
(2009)
Proc. Int. Symp. Comput. Arch. (ISCA)
, pp. 314-324
-
-
Wang, Y.1
Ma, K.2
Wang, X.3
-
30
-
-
34548253874
-
RAMP: Research accelerator for multiple processors
-
DOI 10.1109/MM.2007.39
-
J.Wawrzynek, D. Patterson, M. Oskin, S. Lu, C. Kozyrakis, J. Hoe, D. Chiou, and K. Asanovic, "RAMP: Research accelerator for multiple processors," IEEE Micro, vol. 27, no. 2, pp. 46-57, Aug. 2007. (Pubitemid 47322500)
-
(2007)
IEEE Micro
, vol.27
, Issue.2
, pp. 46-57
-
-
Wawrzynek, J.1
Patterson, D.2
Oskin, M.3
Lu, S.-L.4
Kozyrakis, C.5
Hoe, J.C.6
Chiou, D.7
Asanovic, K.8
-
31
-
-
51549101059
-
Predictive dynamic thermal management for multicore systems
-
I. Yeo, C. Liu, and E. Kim, "Predictive dynamic thermal management for multicore systems," in Proc. Design Autom. Conf. (DAC), 2008, pp. 734-739.
-
(2008)
Proc. Design Autom. Conf. (DAC)
, pp. 734-739
-
-
Yeo, I.1
Liu, C.2
Kim, E.3
-
32
-
-
64649098535
-
A control theory approach for thermal balancing of MPSoC
-
F. Zanini, D. Atienza, and G. De Micheli, "A control theory approach for thermal balancing of MPSoC," in Proc. Asia South Pacific Design Autom. Conf. (ASPDAC), 2009, pp. 37-42.
-
(2009)
Proc. Asia South Pacific Design Autom. Conf. (ASPDAC)
, pp. 37-42
-
-
Zanini, F.1
Atienza, D.2
De Micheli, G.3
-
33
-
-
77952637166
-
Thermal-Aware job allocation and scheduling for three dimensional chip multiprocessor
-
S. Liu, J. zhang, Q. Wu, and Q. Qiu, "Thermal-Aware job allocation and scheduling for three dimensional chip multiprocessor," in Proc. Int. Symp. Quality Electron. Design (ISQED), 2010, pp. 390-398.
-
(2010)
Proc. Int. Symp. Quality Electron. Design (ISQED)
, pp. 390-398
-
-
Liu, S.1
Zhang, J.2
Wu, Q.3
Qiu, Q.4
-
34
-
-
77956192486
-
Distributed task migration for thermal management in many-core systems
-
Y. Ge, P. Malani, and Q. Qiu, "Distributed task migration for thermal management in many-core systems," in Proc. Design Autom. Conf. (DAC), 2010, pp. 579-584.
-
(2010)
Proc. Design Autom. Conf. (DAC)
, pp. 579-584
-
-
Ge, Y.1
Malani, P.2
Qiu, Q.3
|