-
1
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
C. Bienia, S. Kumar, J.P. Singh, K. Li, The PARSEC benchmark suite: characterization and architectural implications, in: Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008.
-
(2008)
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
2
-
-
52649087073
-
Networks for multi-core chips: A contrarian view
-
S. Borkar, Networks for multi-core chips: a contrarian view, in: Special Session at ISLPED, 2007.
-
(2007)
Special Session at ISLPED
-
-
Borkar, S.1
-
3
-
-
0032592096
-
Design challenges of technology scaling
-
S. Borkar Design challenges of technology scaling IEEE Micro 19 4 1999 23 29
-
(1999)
IEEE Micro
, vol.19
, Issue.4
, pp. 23-29
-
-
Borkar, S.1
-
5
-
-
57749169508
-
Performance and power optimization through data compression in network-on-chip architectures
-
R. Das, A.K. Mishra, C. Nicopoulus, D. Park, V. Narayanan, R. Iyer, et al., Performance and power optimization through data compression in networkonchip architectures, in: Proceedings of the 14th Intl. Symp. on High-Performance Computer Architecture, 2008.
-
(2008)
Proceedings of the 14th Intl. Symp. on High-Performance Computer Architecture
-
-
Das, R.1
Mishra, A.K.2
Nicopoulus, C.3
Park, D.4
Narayanan, V.5
Iyer, R.6
-
6
-
-
0000466264
-
Scalable pipelined interconnect for distributed endpoint routing: The SGI SPIDER chip
-
M. Galles, Scalable pipelined interconnect for distributed endpoint routing: the SGI SPIDER chip, in: Symposium on High Performance Interconnects, Hot Interconnects, 1996, pp. 141146.
-
(1996)
Symposium on High Performance Interconnects, Hot Interconnects
, pp. 141-146
-
-
Galles, M.1
-
7
-
-
57749191721
-
Regional congestion awareness for load balance in networks-on-chip
-
P. Gratz, B. Grot, S. Keckler, Regional congestion awareness for load balance in networks-on-chip, in: Proceedings of the 14th International Symposium on High-Performance Computer Architecture, HPCA, 2008.
-
(2008)
Proceedings of the 14th International Symposium on High-Performance Computer Architecture, HPCA
-
-
Gratz, P.1
Grot, B.2
Keckler, S.3
-
9
-
-
79953175011
-
-
US patent 4978927-programmable voltage controlled ring oscillator
-
K. Hausman, G. Gaudenzi, J. Mosley, S. Tempest, US patent 4978927programmable voltage controlled ring oscillator, 1990.
-
(1990)
-
-
Hausman, K.1
Gaudenzi, G.2
Mosley, J.3
Tempest, S.4
-
10
-
-
36849022584
-
A 5-GHz mesh interconnect for a teraflops processor
-
DOI 10.1109/MM.2007.4378783
-
Yatin Hoskote, Sriram Vangal, Arvind Singh, Nitin Borkar, Shekhar Borkar, A 5-GHz mesh interconnect for a teraflops processor, in: IEEE Micro, September/October, 2007, pp. 5161. (Pubitemid 350218387)
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
12
-
-
79953175358
-
-
International Technology Roadmap for Semiconductors, ITRS
-
International Technology Roadmap for Semiconductors, ITRS, 2009. http://www.itrs.net/.
-
(2009)
-
-
-
13
-
-
52649108804
-
Technology-driven, highly-scalable dragonfly topology
-
J. Kim, W.J. Dally, S. Scott, D. Abts, Technology-driven, highly-scalable dragonfly topology, in: 35th International Symposium on Computer Architecture, ISCA, 2008.
-
(2008)
35th International Symposium on Computer Architecture, ISCA
-
-
Kim, J.1
Dally, W.J.2
Scott, S.3
Abts, D.4
-
14
-
-
52649174496
-
Polymorphic on-chip networks
-
M.M. Kim, J.D. Davis, M. Oskin, T. Austin, Polymorphic on-chip networks, in: Proc. of the 35th International Symposium on Computer Architecture, ISCA, 2008.
-
(2008)
Proc. of the 35th International Symposium on Computer Architecture, ISCA
-
-
Kim, M.M.1
Davis, J.D.2
Oskin, M.3
Austin, T.4
-
15
-
-
57749178620
-
System level analysis of fast, per-core DVFS using on-chip switching regulators
-
W. Kim, M.S. Gupta, G.Y. Wei, D. Brooks, System level analysis of fast, per-core DVFS using on-chip switching regulators, in: Proceedings of the 14th International Symposium on High-Performance Computer Architecture, HPCA, 2008.
-
(2008)
Proceedings of the 14th International Symposium on High-Performance Computer Architecture, HPCA
-
-
Kim, W.1
Gupta, M.S.2
Wei, G.Y.3
Brooks, D.4
-
16
-
-
21044433763
-
A holistic approach to designing energy-efficient cluster interconnects
-
E.J. Kim, G. Link, K.H. Yum, V. Narayanan, M. Kandemir, and M.J. Irwin A holistic approach to designing energy-efficient cluster interconnects IEEE Transactions on Computers 54 2005 660 671 URL: http://www.gigascale.org/pubs/ 630.html
-
(2005)
IEEE Transactions on Computers
, vol.54
, pp. 660-671
-
-
Kim, E.J.1
Link, G.2
Yum, K.H.3
Narayanan, V.4
Kandemir, M.5
Irwin, M.J.6
-
17
-
-
67650538109
-
Design and analysis of an NoC architecture from performance, reliability and energy perespective
-
J. Kim, D. Park, C. Nicopolous, N. Vijaykrishnan, C.R. Das, Design and analysis of an NoC architecture from performance, reliability and energy perespective, in: ANCS'05: Proceedings of the 2005 Symp. on Arch. for Networking and Comm. Systems, 2005.
-
(2005)
ANCS'05: Proceedings of the 2005 Symp. on Arch. for Networking and Comm. Systems
-
-
Kim, J.1
Park, D.2
Nicopolous, C.3
Vijaykrishnan, N.4
Das, C.R.5
-
18
-
-
27944435722
-
A low latency router supporting adaptivity for on-chip router
-
J. Kim, D. Park, T. Theocharides, N. Vijaykrishnan, C.R. Das, A low latency router supporting adaptivity for on-chip router, in: 42nd Design Automation Conference, DAC, 2005.
-
(2005)
42nd Design Automation Conference, DAC
-
-
Kim, J.1
Park, D.2
Theocharides, T.3
Vijaykrishnan, N.4
Das, C.R.5
-
19
-
-
52949114554
-
A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65 nm CMOS
-
A. Kumar, P. Kundu, A. Singh, L.S. Peh, N.K. Jha, A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65 nm CMOS, in: 25th International Conference on Computer Design, ICCD, 2007.
-
(2007)
25th International Conference on Computer Design, ICCD
-
-
Kumar, A.1
Kundu, P.2
Singh, A.3
Peh, L.S.4
Jha, N.K.5
-
21
-
-
52649164769
-
ReVIVaL: A variation tolerant architecture using voltage interpolation and variable latency
-
X. Liang, G.Y. Wei, D. Brooks, ReVIVaL: a variation tolerant architecture using voltage interpolation and variable latency, in: 35th International Symposium on Computer Architecture, ISCA, 2008.
-
(2008)
35th International Symposium on Computer Architecture, ISCA
-
-
Liang, X.1
Wei, G.Y.2
Brooks, D.3
-
22
-
-
0036469676
-
Simics: A full system simulation platform
-
P.S. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg, and J. Hogberg Simics: a full system simulation platform Computer 35 2 2002 50 58
-
(2002)
Computer
, vol.35
, Issue.2
, pp. 50-58
-
-
Magnusson, P.S.1
Christensson, M.2
Eskilson, J.3
Forsgren, D.4
Hallberg, G.5
Hogberg, J.6
-
26
-
-
38349079264
-
The effect of interconnect design on the performancee of large L2 caches
-
N. Muralimanohar, R. Balasubramonian, The effect of interconnect design on the performancee of large L2 caches, in: 3rd IBM Watson Conference on Interaction Between Architecture, Circuits, and Compilers (P = ac 2 ), 2006.
-
(2006)
3rd IBM Watson Conference on Interaction between Architecture, Circuits, and Compilers (P=ac2)
-
-
Muralimanohar, N.1
Balasubramonian, R.2
-
29
-
-
0345272496
-
Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling
-
G. Semeraro, G. Magklis, R. Balasubramonian, D.H. Albonesi, S. Dwarkadas, M.L. Scott, Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling, in: 8th Intl. Symp. on High-Performance Computer Arch., 2002.
-
(2002)
8th Intl. Symp. on High-Performance Computer Arch.
-
-
Semeraro, G.1
Magklis, G.2
Balasubramonian, R.3
Albonesi, D.H.4
Dwarkadas, S.5
Scott, M.L.6
-
30
-
-
1142305187
-
Powerherd: Dynamic satisfaction of peak power constraints in interconnection networks
-
L. Shang, L.S. Peh, N.K. Jha, Powerherd: dynamic satisfaction of peak power constraints in interconnection networks, in: Association Computing Machinery, ACM, Int. Conf. Supercomputing, 2003.
-
(2003)
Association Computing Machinery, ACM, Int. Conf. Supercomputing
-
-
Shang, L.1
Peh, L.S.2
Jha, N.K.3
-
32
-
-
21644444692
-
Thermal modeling, characterization and management of on-chip networks
-
L. Shang, L.S. Peh, A. Kumar, N.K. Jha, Thermal modeling, characterization and management of on-chip networks, in: MICRO'37: Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture, 2004.
-
(2004)
MICRO'37: Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture
-
-
Shang, L.1
Peh, L.S.2
Kumar, A.3
Jha, N.K.4
-
34
-
-
15944375362
-
Clock generation and distribution for the 130-nm itanium 2 processor with 6-MB on-die L3 cache
-
S. Tam, R. Limaye, and U. Desai Clock generation and distribution for the 130-nm itanium 2 processor with 6-MB on-die L3 cache IEEE Journal of Solid-State Circuits 39 2004 636 642
-
(2004)
IEEE Journal of Solid-State Circuits
, vol.39
, pp. 636-642
-
-
Tam, S.1
Limaye, R.2
Desai, U.3
-
35
-
-
35348837202
-
Recycle: Pipeline adaptation to tolerate process variation
-
A. Tiwari, S. Sarangi, J. Torrellas, Recycle: pipeline adaptation to tolerate process variation, in: 34th Annual International Symposium on Computer Architecture, ISCA, 2007.
-
(2007)
34th Annual International Symposium on Computer Architecture, ISCA
-
-
Tiwari, A.1
Sarangi, S.2
Torrellas, J.3
-
36
-
-
34547680443
-
Neutron-induced soft error rate measurements in semiconductor memories
-
DOI 10.1016/j.nima.2007.04.049, PII S0168900207006225
-
K. Unlu, V. Narayanan, S.M. Cetiner, V. Degalahal, and M.J. Irwin Neutron-induced soft error rate measurements in semiconductor memories Nuclear Instruments and Methods in Physics Research 579 2007 252 255 10.1016/j.nima.2007.04.049 (Pubitemid 47211411)
-
(2007)
Nuclear Instruments and Methods in Physics Research, Section A: Accelerators, Spectrometers, Detectors and Associated Equipment
, vol.579
, Issue.1
, pp. 252-255
-
-
Unlu, K.1
Narayanan, V.2
Cetiner, S.M.3
Degalahal, V.4
Irwin, M.J.5
-
37
-
-
34548858682
-
An 80-tile 1.28TFLOPS network-on-chip in 65 nm CMOS
-
S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, et al., An 80-tile 1.28TFLOPS network-on-chip in 65 nm CMOS, in: IEEE International Solid-State Circuits Conference, ISSCC, 2007.
-
(2007)
IEEE International Solid-State Circuits Conference, ISSCC
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
-
38
-
-
84948976085
-
Orion: A power-performancee simulator for interconnection networks
-
H. Wang, X. Zhu, L.S. Peh, S. Malik, Orion: a power-performancee simulator for interconnection networks, in: ACM/IEEE MICRO, 2002.
-
(2002)
ACM/IEEE MICRO
-
-
Wang, H.1
Zhu, X.2
Peh, L.S.3
Malik, S.4
-
40
-
-
77951211354
-
Optimizing power and performancee for reliable on-chip networks
-
A. Yanamandra, S. Eachempati, N. Soundararajan, V. Narayanan, M.J. Irwin, R. Krishnan, Optimizing power and performancee for reliable on-chip networks, in: ASPDAC: 15th Asia South Pacific Design Automation Conference, 2010.
-
(2010)
ASPDAC: 15th Asia South Pacific Design Automation Conference
-
-
Yanamandra, A.1
Eachempati, S.2
Soundararajan, N.3
Narayanan, V.4
Irwin, M.J.5
Krishnan, R.6
|