-
1
-
-
84944403418
-
A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor
-
S. S. Mukherjee, C. Weaver, J. Emer, S. K. Reinhardt, and T. Austin, "A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor," in MICRO 36: Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture, 2003.
-
(2003)
MICRO 36: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture
-
-
Mukherjee, S.S.1
Weaver, C.2
Emer, J.3
Reinhardt, S.K.4
Austin, T.5
-
2
-
-
0036504519
-
Power4 system design for high reliability
-
D. C. Bossen, J. M. Tendler, and K. Reick, "Power4 system design for high reliability," IEEE Micro, vol.22, no.2, 2002.
-
(2002)
IEEE Micro
, vol.22
, Issue.2
-
-
Bossen, D.C.1
Tendler, J.M.2
Reick, K.3
-
3
-
-
45749133027
-
Soft-error resilience of the IBM POWER6 processor
-
P. N. Sanda, J. W. Kellington, P. Kudva, R. Kalla, R. B. McBeth, J. Ackaret, R. Lockwood, J. Schumann, and C. R. Jones, "Soft-error resilience of the IBM POWER6 processor," IBM Journal of Research and Development, vol.52, no.3, 2008.
-
(2008)
IBM Journal of Research and Development
, vol.52
, Issue.3
-
-
Sanda, P.N.1
Kellington, J.W.2
Kudva, P.3
Kalla, R.4
McBeth, R.B.5
Ackaret, J.6
Lockwood, R.7
Schumann, J.8
Jones, C.R.9
-
4
-
-
29344453384
-
Cache and memory error detection, correction, and reduction techniques for terrestrial servers and workstations
-
Sept.
-
C. Slayman, "Cache and memory error detection, correction, and reduction techniques for terrestrial servers and workstations," IEEE Transactions on Device and Materials Reliability, vol.5, no.3, Sept. 2005.
-
(2005)
IEEE Transactions on Device and Materials Reliability
, vol.5
, Issue.3
-
-
Slayman, C.1
-
6
-
-
53349140999
-
Understanding the propagation of hard errors to software and implications for resilient system design
-
M.-L. Li, P. Ramachandran, S. K. Sahoo, S. V. Adve, V. S. Adve, and Y. Zhou, "Understanding the propagation of hard errors to software and implications for resilient system design," in ASPLOS XIII: Proceedings of the 13th international conference on Architectural support for programming languages and operating systems, 2008.
-
(2008)
ASPLOS XIII: Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems
-
-
Li, M.-L.1
Ramachandran, P.2
Sahoo, S.K.3
Adve, S.V.4
Adve, V.S.5
Zhou, Y.6
-
7
-
-
77958024492
-
Compiler-directed selective data protection against soft errors
-
G. Chen, M. Kandemir, M. J. Irwin, and G. Memik, "Compiler-directed selective data protection against soft errors," in ASP-DAC '05: Proceedings of the 2005 conference on Asia South Pacific design automation, 2005.
-
(2005)
ASP-DAC '05: Proceedings of the 2005 Conference on Asia South Pacific Design Automation
-
-
Chen, G.1
Kandemir, M.2
Irwin, M.J.3
Memik, G.4
-
8
-
-
77953980628
-
Parallel adaptive solvers in compressible petsc-fun3d simulations. argonne national laboratory preprint anl/mcs-p12790805
-
submitted to
-
S. Bhowmick, A. D. Kaushik, B. L. Mcinnes, B. B. Norris, and B. P. R. C, "Parallel adaptive solvers in compressible petsc-fun3d simulations. argonne national laboratory preprint anl/mcs-p12790805, submitted to," in Proc. of the 17th International Conference on Parallel CFD, 2005.
-
(2005)
Proc. of the 17th International Conference on Parallel CFD
-
-
Bhowmick, S.1
Kaushik, A.D.2
McInnes, B.L.3
Norris, B.B.4
B, P.R.C.5
-
12
-
-
33751396173
-
Improving scratch-pad memory reliability through compiler-guided data block duplication
-
F. Li, G. Chen, M. Kandemir, and I. Kolcu, "Improving scratch-pad memory reliability through compiler-guided data block duplication," in ICCAD 05: Proceedings ofthe 2005 IEEE/ACM International conference on Computer-aided design, 2005.
-
(2005)
ICCAD 05: Proceedings Ofthe 2005 IEEE/ACM International Conference on Computer-aided Design
-
-
Li, F.1
Chen, G.2
Kandemir, M.3
Kolcu, I.4
-
13
-
-
4644320531
-
Techniques to reduce the soft error rate of a high-performance microprocessor
-
C. Weaver, J. Emer, S. S. Mukherjee, and S. K. Rein-hardt, "Techniques to reduce the soft error rate of a high- performance microprocessor," in ISCA '04: Proceedings of the 31st annual international symposium on Computer architecture, 2004.
-
(2004)
ISCA '04: Proceedings of the 31st Annual International Symposium on Computer Architecture
-
-
Weaver, C.1
Emer, J.2
Mukherjee, S.S.3
Rein-Hardt, S.K.4
-
14
-
-
84932138415
-
Soft error and energy consumption interactions: A data cache perspective
-
L. Li, V. Degalahal, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin, "Soft error and energy consumption interactions: a data cache perspective," in ISLPED '04: Proceedings of the 2004 international symposium on Low power electronics and design, 2004.
-
ISLPED '04: Proceedings of the 2004 International Symposium on Low Power Electronics and Design
, vol.2004
-
-
Li, L.1
Degalahal, V.2
Vijaykrishnan, N.3
Kandemir, M.4
Irwin, M.J.5
-
19
-
-
47349100793
-
Multi-bit error tolerant caches using two-dimensional error coding
-
J. Kim, N. Hardavellas, K. Mai, B. Falsafi, and J. Hoe, "Multi-bit error tolerant caches using two-dimensional error coding," in MICRO '07: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, 2007.
-
(2007)
MICRO '07: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
-
-
Kim, J.1
Hardavellas, N.2
Mai, K.3
Falsafi, B.4
Hoe, J.5
-
21
-
-
58949088869
-
A framework for correction of multi-bit soft errors in l2 caches based on redundancy
-
Feb.
-
K. Bhattacharya, N. Ranganathan, and S. Kim, "A framework for correction of multi-bit soft errors in l2 caches based on redundancy," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.17, no.2, Feb. 2009.
-
(2009)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.17
, Issue.2
-
-
Bhattacharya, K.1
Ranganathan, N.2
Kim, S.3
-
22
-
-
0347409250
-
Ada- pative error protection for energy efficiency
-
L. Li, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin, "Ada- pative error protection for energy efficiency," in ICCAD '03: Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design, 2003.
-
(2003)
ICCAD '03: Proceedings of the 2003 IEEE/ACM International Conference on Computer-aided Design
-
-
Li, L.1
Vijaykrishnan, N.2
Kandemir, M.3
Irwin, M.J.4
-
23
-
-
55549096750
-
Recovery patterns for iterative methods in a parallel unstable environment
-
J. Langou, Z. Chen, G. Bosilca, and J. Dongarra, "Recovery patterns for iterative methods in a parallel unstable environment," SIAM Journal on Scientific Computing, vol.30, no.1, 2007.
-
(2007)
SIAM Journal on Scientific Computing
, vol.30
, Issue.1
-
-
Langou, J.1
Chen, Z.2
Bosilca, G.3
Dongarra, J.4
-
24
-
-
2442491504
-
Evaluating reliability improvements of fault tolerant array processors using algorithm-based fault tolerance
-
D. L. Tao and K. Kantawala, "Evaluating reliability improvements of fault tolerant array processors using algorithm-based fault tolerance," IEEE Transactions on Computers, vol.46, no.6, 1997.
-
(1997)
IEEE Transactions on Computers
, vol.46
, Issue.6
-
-
Tao, D.L.1
Kantawala, K.2
-
25
-
-
0024142081
-
A linear algebraic model of algorithm-based fault tolerance
-
J. Anfinson and F. T. Luk, "A linear algebraic model of algorithm-based fault tolerance," IEEE Transactions on Computers, vol.37, no.12, 1988.
-
(1988)
IEEE Transactions on Computers
, vol.37
, Issue.12
-
-
Anfinson, J.1
Luk, F.T.2
-
27
-
-
33644879118
-
-
January
-
J. Renau, B. Fraguela, J. Tuck, W. Liu, M. Prvulovic, L. Ceze, S. Sarangi, P. Sack, K. Strauss, and P. Montesinos, "SESC simulator," January 2005, http://sesc.sourceforge.net.
-
(2005)
SESC Simulator
-
-
Renau, J.1
Fraguela, B.2
Tuck, J.3
Liu, W.4
Prvulovic, M.5
Ceze, L.6
Sarangi, S.7
Sack, P.8
Strauss, K.9
Montesinos, P.10
-
28
-
-
77954000933
-
-
The University of Florida sparse matrix collection
-
T. Davis, "The University of Florida sparse matrix collection," http://www.cise.ufl.edu/research/sparse/matrices.
-
-
-
Davis, T.1
-
29
-
-
77954023931
-
-
Intel, "Intel® pentium® 4 processor 6x1 sequence," December 2006
-
Intel, "Intel® pentium® 4 processor 6x1 sequence," December 2006.
-
-
-
-
30
-
-
77954012467
-
-
Cacti 5.3
-
"Cacti 5.3," http://www.hpl.hp.com/research/cacti/?jumpid=reg- R1002-USEN.
-
-
-
-
31
-
-
84962299846
-
Evaluating run-time techniques for leakage power reduction
-
D. Duarte, Y.-F. Tsai, N. Vijaykrishnan, and M. J. Irwin, "Evaluating run-time techniques for leakage power reduction," in ASP-DAC 02: Proceedings of the 2002 Asia and South Pacific Design Automation Conference, 2002.
-
(2002)
ASP-DAC 02: Proceedings of the 2002 Asia and South Pacific Design Automation Conference
-
-
Duarte, D.1
Tsai, Y.-F.2
Vijaykrishnan, N.3
Irwin, M.J.4
-
32
-
-
0034825598
-
An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance I-caches
-
S.-H. Yang, B. Falsafi, M. D. Powell, K. Roy, and T. N. Vijaykumar, "An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance I-caches," in HPCA 01: Proceedings of the 7th International Symposium on High-Performance Computer Architecture, 2001.
-
(2001)
HPCA 01: Proceedings of the 7th International Symposium on High-Performance Computer Architecture
-
-
Yang, S.-H.1
Falsafi, B.2
Powell, M.D.3
Roy, K.4
Vijaykumar, T.N.5
|