-
1
-
-
34547159409
-
Timing-based delay test for screening small delay defects
-
DOI 10.1145/1146909.1146993, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
N. Ahmed, M. Tehranipoor, and V. Jayaram, "Timing-based delay test for screening small delay defects, " in Proc. IEEE Design Autom. Conf., 2006, pp. 320-325. (Pubitemid 47113915)
-
(2006)
Proceedings - Design Automation Conference
, pp. 320-325
-
-
Ahmed, N.1
Tehranipoor, M.2
Jayaram, V.3
-
2
-
-
33947642638
-
Timing-aware ATPG for high quality at-speed testing of small delay defects
-
DOI 10.1109/ATS.2006.261012, 4030760, Proceedings of the 15th Asian Test Symposium 2006
-
X. Lin, K.-H. Tsai, C. Wang, M. Kassab, J. Rajski, T. Kobayashi, R. Klingenberg, Y. Sato, S. Hamada, and T. Aikyo, "Timing-aware ATPG for high quality at-speed testing of small delay defects, " in Proc. IEEE Asian Test Symp., 2006, pp. 139-146. (Pubitemid 46499320)
-
(2006)
Proceedings of the Asian Test Symposium
, vol.2006
, pp. 139-146
-
-
Lin, X.1
Tsai, K.-H.2
Wang, C.3
Kassab, M.4
Rajski, J.5
Kobayashi, T.6
Klingenberg, R.7
Sato, Y.8
Hamada, S.9
Aikyo, T.10
-
3
-
-
0023330236
-
Transition fault simulation
-
Mar
-
J. A. Waicukauski, E. Lindbloom, B. Rosen, and V. Iyengar, "Transition fault simulation, " IEEE Design Test Comput., vol. 4, no. 2, pp. 32-38, Mar. 1987.
-
(1987)
IEEE Design Test Comput.
, vol.4
, Issue.2
, pp. 32-38
-
-
Waicukauski, J.A.1
Lindbloom, E.2
Rosen, B.3
Iyengar, V.4
-
4
-
-
33751085673
-
Enhanced timing-based transition delay testing for small delay defects
-
DOI 10.1109/VTS.2006.33, 1617614, Proceedings - 24th IEEE VLSI Test Symposium
-
R. Putman and R. Gawde, "Enhanced timing-based transition delay testing for small delay defects, " in Proc. IEEE Very Large Scale Integr. Test Symp., 2006, pp. 336-342. (Pubitemid 44761113)
-
(2006)
Proceedings of the IEEE VLSI Test Symposium
, vol.2006
, pp. 336-342
-
-
Putman, R.1
Gawde, R.2
-
7
-
-
39749084685
-
Fundamentals of timing information for test: How simple can we get?
-
DOI 10.1109/TEST.2007.4437609, Reportnr 17.2, 2007 IEEE International Test Conference, ITC
-
R. Kapur, J. Zejda, and T. W. Williams, "Fundamentals of timing information for test: How simple can we get?" in Proc. IEEE Int. Test Conf., Oct. 2007, pp. 1-7. (Pubitemid 351303679)
-
(2008)
Proceedings - International Test Conference
, pp. 4437609
-
-
Kapur, R.1
Zejda, J.2
Williams, T.W.3
-
8
-
-
77951694364
-
-
IWLS, Benchmarks Online. Available
-
IWLS 2005 Benchmarks [Online]. Available: http://iwls.org/iwls2005/ benchmarks.html
-
(2005)
-
-
-
10
-
-
18144383556
-
An economic analysis and ROI model for nanometer test
-
18.1, Proceedings - International Test Conference 2004
-
B. Keller, M. Tegethoff, T. Bartenstein, and V. Chickermane, "An economic analysis and ROI model for nanometer test, " in Proc. IEEE Int. Test Conf., 2004, pp. 518-524. (Pubitemid 40610051)
-
(2004)
Proceedings - International Test Conference
, pp. 518-524
-
-
Keller, B.1
Tegethoff, M.2
Bartenstein, T.3
Chickermane, V.4
-
12
-
-
0004245602
-
-
Semiconductor Industry Association, Online. Available
-
Semiconductor Industry Association. (2007) International technology roadmap for semiconductors (ITRS) [Online]. Available: http://www.itrs.net/ links/2007itrs/home2007.htm
-
(2007)
International Technology Roadmap for Semiconductors (ITRS)
-
-
-
13
-
-
38649125872
-
Test-quality/cost optimization using output-deviation-based reordering of test patterns
-
DOI 10.1109/TCAD.2007.907228
-
Z. Wang and K. Chakrabarty, "Test-quality/cost optimization using outputdeviation-based reordering of test patterns, " IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 2, pp. 352-365, Feb. 2008. (Pubitemid 351169648)
-
(2008)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.27
, Issue.2
, pp. 352-364
-
-
Wang, Z.1
Chakrabarty, K.2
-
14
-
-
33947704707
-
An efficient test pattern selection method for improving defect coverage with reduced test data volume and test application time
-
DOI 10.1109/ATS.2006.260952, 4030788, Proceedings of the 15th Asian Test Symposium 2006
-
Z. Wang and K. Chakrabarty, "An efficient test pattern selection method for improving defect coverage with reduced test data volume and test application time, " in Proc. IEEE Asian Test Symp., 2006, pp. 333-338. (Pubitemid 46499348)
-
(2006)
Proceedings of the Asian Test Symposium
, vol.2006
, pp. 333-338
-
-
Wang, Z.1
Chakrabarty, K.2
-
15
-
-
38749146306
-
Selecting high-quality delay tests for manufacturing test and debug
-
DOI 10.1109/DFT.2006.57, 4030916, Proceedings - 21st IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems, DFT'06
-
H. Lee, S. Natarajan, S. Patil, and I. Pomeranz, "Selecting high-quality delay tests for manufacturing test and debug, " in Proc. IEEE Int. Symp. Defect Fault Tolerance Very Large Scale Integr. Syst., 2006, pp. 59-70. (Pubitemid 351175374)
-
(2006)
Proceedings - IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems
, pp. 59-67
-
-
Lee, H.1
Natarajan, S.2
Patil, S.3
Pomeranz, I.4
-
16
-
-
0024125123
-
Statistical delay fault coverage and defect level for delay faults
-
E. S. Park, M. R. Mercer, and T. W. Williams, "Statistical delay fault coverage and defect level for delay faults, " in Proc. IEEE Int. Test Conf., 1988, pp. 492-499.
-
(1988)
Proc. IEEE Int. Test Conf.
, pp. 492-499
-
-
Park, E.S.1
Mercer, M.R.2
Williams, T.W.3
-
17
-
-
18144399342
-
ALAPTF: A new transition fault model and the ATPG algorithm
-
36.4, Proceedings - International Test Conference 2004
-
P. Gupta and M. S. Hsiao, "ALAPTF: A new transition fault model and the ATPG algorithm, " in Proc. IEEE Int. Test Conf., 2004, pp. 1053-1060. (Pubitemid 40610111)
-
(2004)
Proceedings - International Test Conference
, pp. 1053-1060
-
-
Gupta, P.1
Hsiao, M.S.2
-
18
-
-
18144381267
-
K Longest Paths Per Gate (KLPG) test generation for scan-based sequential circuits
-
9.2, Proceedings - International Test Conference 2004
-
W. Qiu, J. Wang, D. M. H. Walker, D. Reddy, X. Lu, Z. Li, W. Shi, and H. Balachandran, "K longest paths per gate (KLPG) test generation for scan-based sequential circuits, " in Proc. IEEE Int. Test Conf., 2004, pp. 223-231. (Pubitemid 40610020)
-
(2004)
Proceedings - International Test Conference
, pp. 223-231
-
-
Qiu, W.1
Wang, J.2
Walker, D.M.H.3
Reddy, D.4
Lu, X.5
Li, Z.6
Shi, W.7
Balachandran, H.8
-
19
-
-
34548809229
-
Automatic generation of instructions to robustly test delay defects in processors
-
DOI 10.1109/ETS.2007.13, 4221591, Proceedings - 12th IEEE European Test Symposium, ETS 2007
-
S. Gurumurthy, R. Vemu, J. A. Abraham, and D. G. Saab, "Automatic generation of instructions to robustly test delay defects in processors, " in Proc. IEEE Eur. Test Symp., 2007, pp. 173-178. (Pubitemid 47431736)
-
(2007)
Proceedings - 12th IEEE European Test Symposium, ETS 2007
, pp. 173-178
-
-
Gurumurthy, S.1
Vemu, R.2
Abraham, J.A.3
Saab, D.G.4
-
20
-
-
33845534431
-
A new delay test based on delay Defect Detection within Slack Intervals (DDSI)
-
DOI 10.1109/TVLSI.2006.886415
-
H. Yan and A. D. Singh, "A new delay test based on delay defect detection within slack intervals (DDSI), " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 11, pp. 1216-1226, Nov. 2006. (Pubitemid 44924944)
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.11
, pp. 1216-1226
-
-
Yan, H.1
Singh, A.D.2
-
21
-
-
37249063630
-
Transition path delay faults: A new path delay fault model for small and large delay defects
-
DOI 10.1109/TVLSI.2007.909796
-
I. Pomeranz and S. M. Reddy, "Transition path delay faults: A new path delay fault model for small and large delay defects, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 16, no. 1, pp. 98-107, Jan. 2008. (Pubitemid 350281191)
-
(2008)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.16
, Issue.1
, pp. 98-106
-
-
Pomeranz, I.1
Reddy, S.M.2
-
22
-
-
39749150488
-
Delay fault simulation with bounded gate delay model
-
DOI 10.1109/TEST.2007.4437637, Reportnr 26.3, 2007 IEEE International Test Conference, ITC
-
S. Bose, H. Grimes, and V. D. Agrawal, "Delay fault simulation with bounded gate delay mode, " in Proc. IEEE Int. Test Conf., Oct. 2007, pp. 1-10. (Pubitemid 351303705)
-
(2008)
Proceedings - International Test Conference
, pp. 4437637
-
-
Bose, S.1
Grimes, H.2
Agrawal, V.D.3
-
23
-
-
50249141296
-
Variation-aware performance verification using at-speed structural test and statistical timing
-
V. Iyengar, J. Xiong, S. Venkatesan, V. Zolotov, D. Lackey, P. Habitz, and C. Visweswariah, "Variation-aware performance verification using at-speed structural test and statistical timing, " in Proc. IEEE Int. Conf. Comput.-Aided Design, 2007, pp. 405-412.
-
(2007)
Proc. IEEE Int. Conf. Comput.-Aided Design
, pp. 405-412
-
-
Iyengar, V.1
Xiong, J.2
Venkatesan, S.3
Zolotov, V.4
Lackey, D.5
Habitz, P.6
Visweswariah, C.7
-
24
-
-
57849101587
-
Statistical path selection for at-speed test
-
V. Zolotov, J. Xiong, H. Fatemi, and C. Visweswariah, "Statistical path selection for at-speed test, " in Proc. IEEE Int. Conf. Comput.-Aided Design, 2008, pp. 624-631.
-
(2008)
Proc. IEEE Int. Conf. Comput.-Aided Design
, pp. 624-631
-
-
Zolotov, V.1
Xiong, J.2
Fatemi, H.3
Visweswariah, C.4
-
26
-
-
36048954466
-
Statistical static timing analysis technology
-
I. Nitta, S. Toshiyuki, and H. Katsumi, "Statistical static timing analysis technology, " Fujitsu Sci. Tech. J., vol. 43, no. 4, pp. 516-523, Oct. 2007. (Pubitemid 350084369)
-
(2007)
Fujitsu Scientific and Technical Journal
, vol.43
, Issue.4
, pp. 516-523
-
-
Nitta, I.1
Shibuya, T.2
Homma, K.3
-
27
-
-
3042513529
-
Pattern selection for testing of deep submicron timing defects
-
C.-T. M. Chao, L.-C. Wang, and K.-T. Cheng, "Pattern selection for testing of deep submicron timing defects, " in Proc. IEEE Design Autom. Test Eur., vol. 2. 2004, pp. 1060-1065.
-
(2004)
Proc. IEEE Design Autom. Test Eur.
, vol.2
, pp. 1060-1065
-
-
Chao, M.C.-T.1
Wang, L.-C.2
Cheng, K.-T.3
-
28
-
-
77951695050
-
Pattern selection for testing of deep submicron timing defects
-
B. Lee, H. Li, L.-C. Wang, and M. Abadir, "Pattern selection for testing of deep submicron timing defects, " in Proc. IEEE Int. Test Conf., vol. 2. 2005, pp. 1060-1065.
-
(2005)
Proc. IEEE Int. Test Conf.
, vol.2
, pp. 1060-1065
-
-
Lee, B.1
Li, H.2
Wang, L.-C.3
Abadir, M.4
-
29
-
-
33847156284
-
Invisible delay quality - SDQM model lights up what could not be seen
-
DOI 10.1109/TEST.2005.1584088, 1584088, Reportnr 47.1, IEEE International Test Conference, Proceedings, ITC 2005
-
Y. Sato, S. Hamada, T. Maeda, A. Takatori, Y. Nozuyama, and S. Kajihara, "Invisible delay quality: SDQM model lights up what could not be seen, " in Proc. IEEE Int. Test Conf., 2005, 9 p. 1210. (Pubitemid 46287619)
-
(2005)
Proceedings - International Test Conference
, vol.2005
, pp. 1202-1210
-
-
Sato, Y.1
Hamada, S.2
Maeda, T.3
Takatori, A.4
Nozuyama, Y.5
Kajihara, S.6
-
30
-
-
67249087944
-
Interconnect-aware and layout-oriented test-pattern selection for small-delay defects
-
M. Yilmaz, K. Chakrabarty, and M. Tehranipoor, "Interconnect-aware and layout-oriented test-pattern selection for small-delay defects, " in Proc. IEEE Int. Test Conf., 2008, pp. 1-10.
-
(2008)
Proc. IEEE Int. Test Conf.
, pp. 1-10
-
-
Yilmaz, M.1
Chakrabarty, K.2
Tehranipoor, M.3
-
31
-
-
77951182072
-
-
Ph. D. dissertation, Dept. Electr. Comput. Eng., Duke Univ., Durham, NC, Apr
-
M. Yilmaz, "Automated test grading and pattern selection for small-delay defects, " Ph. D. dissertation, Dept. Electr. Comput. Eng., Duke Univ., Durham, NC, Apr. 2009.
-
(2009)
Automated Test Grading and Pattern Selection for Small-delay Defects
-
-
Yilmaz, M.1
|