-
1
-
-
0033722744
-
Piranha: A scalable architecture based on single-chip multiprocessing
-
Vancouver, British Columbia, Canada (June)
-
L. A. Barroso, et al., Piranha: A Scalable Architecture Based on Single-Chip Multiprocessing, Proc. of 27th Annual International Symposium on Computer Architecture, Vancouver, British Columbia, Canada, pp. 282-293 (June 2000).
-
(2000)
Proc. of 27th Annual International Symposium on Computer Architecture
, pp. 282-293
-
-
Barroso, L.A.1
-
2
-
-
0033880036
-
The stanford hydra CMP
-
March-April
-
L. Hammond, B. A. Hubbert, M. Siu, M. K. Prabhu, M. Chen, and K. Olukolun, The Stanford Hydra CMP, IEEE Micro, 20:71-84 (March-April 2000).
-
(2000)
IEEE Micro
, vol.20
, pp. 71-84
-
-
Hammond, L.1
Hubbert, B.A.2
Siu, M.3
Prabhu, M.K.4
Chen, M.5
Olukolun, K.6
-
3
-
-
0031235242
-
Single-chip multiprocessor
-
September
-
L. Hammond, B. A. Nayfah, and K. A. Olukotun, Single-Chip Multiprocessor, IEEE Computer Society, 30(9):79-85 (September 1997).
-
(1997)
IEEE Computer Society
, vol.30
, Issue.9
, pp. 79-85
-
-
Hammond, L.1
Nayfah, B.A.2
Olukotun, K.A.3
-
4
-
-
0036298603
-
Power4 system micro-architecture
-
J. M. Tendler, J. S. Dodson, J. S. Fields, H. Le, and B. Sinharoy, Power4 System Micro-architecture, IBM Journal of Research and Development, 46(1):5-25 (2002).
-
(2002)
IBM Journal of Research and Development
, vol.46
, Issue.1
, pp. 5-25
-
-
Tendler, J.M.1
Dodson, J.S.2
Fields, J.S.3
Le, H.4
Sinharoy, B.5
-
5
-
-
20344374162
-
Niagara: 32-way multithreaded sparc processor
-
March-April
-
P. Kongetira, K. Aingaran, and K. Olukotun, Niagara: 32-way Multithreaded Sparc Processor, IEEE Computer Society, 25(2):21-29 (March-April 2005).
-
(2005)
IEEE Computer Society
, vol.25
, Issue.2
, pp. 21-29
-
-
Kongetira, P.1
Aingaran, K.2
Olukotun, K.3
-
6
-
-
20344403770
-
Montecito: A dual-core, dual-thread itanium processor
-
March-April
-
C. McNairy and R. Bhatia, Montecito: A Dual-Core, Dual-Thread Itanium Processor, IEEE Computer Society, 25(2): 10-20 (March-April 2005).
-
(2005)
IEEE Computer Society
, vol.25
, Issue.2
, pp. 10-20
-
-
McNairy, C.1
Bhatia, R.2
-
7
-
-
0033717865
-
Clock rate versus IPC: The end of the road for conventional microarchitectures
-
Vancouver, British Columbia, Canada (June)
-
V. Agarwal, M. S. Hrishikesh, S. W. Keckler, and D. Burger, Clock Rate versus IPC: The End of the Road for Conventional Microarchitectures, Proc. of the 27th Annual International Symposium on Computer Architecture, Vancouver, British Columbia, Canada, pp. 248-259 (June 2000).
-
(2000)
Proc. of the 27th Annual International Symposium on Computer Architecture
, pp. 248-259
-
-
Agarwal, V.1
Hrishikesh, M.S.2
Keckler, S.W.3
Burger, D.4
-
9
-
-
0031233906
-
Superspeculative microarchitecture for beyond AD 2000
-
September
-
M. H. Lipasti and J. P. Shen, Superspeculative Microarchitecture for Beyond AD 2000, IEEE Computer Society, 30(9):59-66 (September 1997).
-
(1997)
IEEE Computer Society
, vol.30
, Issue.9
, pp. 59-66
-
-
Lipasti, M.H.1
Shen, J.P.2
-
12
-
-
0012561327
-
Coming challenges in microarchitecture and architecture
-
March
-
R. Ronen, et al., Coming Challenges in Microarchitecture and Architecture, Proc. IEEE, 89(3):325-340 (March 2001).
-
(2001)
Proc. IEEE
, vol.89
, Issue.3
, pp. 325-340
-
-
Ronen, R.1
-
13
-
-
33646534810
-
The challenges of massive on-chip concurrency
-
Singapore, October 24-26, number 3740 in LNCS, Springer-Verlag
-
K. Bousias and C. R. Jesshope, The Challenges of Massive On-chip Concurrency, 10th Asia-Pacific Computer Systems Architecture Conference, Singapore, October 24-26, number 3740 in LNCS, pp. 157-170, Springer-Verlag (2005).
-
(2005)
10th Asia-pacific Computer Systems Architecture Conference
, pp. 157-170
-
-
Bousias, K.1
Jesshope, C.R.2
-
15
-
-
0035696763
-
Reducing the complexity of the register file in dynamic superscalar processors
-
Austin, Texas (December)
-
R. Balasubramonian, S. Dwarkadas, and D. Albonesi, Reducing the Complexity of the Register File in Dynamic Superscalar Processors, Proc. of the 34th International Symposium on Micro-architecture, Austin, Texas, pp. 237-248 (December 2001).
-
(2001)
Proc. of the 34th International Symposium on Micro-architecture
, pp. 237-248
-
-
Balasubramonian, R.1
Dwarkadas, S.2
Albonesi, D.3
-
16
-
-
0030676681
-
Complexity-effective superscalar processors
-
Denver, Colorado, United States (June)
-
S. Palacharla, N. P. Jouppi, and J. Smith, Complexity-effective Superscalar Processors, Proc. of the 24th International Symposium on Computer Architecture, Denver, Colorado, United States, pp. 206-218 (June 1997).
-
(1997)
Proc. of the 24th International Symposium on Computer Architecture
, pp. 206-218
-
-
Palacharla, S.1
Jouppi, N.P.2
Smith, J.3
-
17
-
-
0029200683
-
Simultaneous multithreading: Maximizing on chip parallelism
-
Santa Margherita Ligure, Italy (June)
-
D. M. Tullsen, S. Eggersa, and H. M. Levy, Simultaneous Multithreading: Maximizing on Chip Parallelism, Proc. of the 22nd Annual International Symposium on Computer Architecture, Santa Margherita Ligure, Italy, pp. 392-403 (June 1995).
-
(1995)
Proc. of the 22nd Annual International Symposium on Computer Architecture
, pp. 392-403
-
-
Tullsen, D.M.1
Eggersa, S.2
Levy, H.M.3
-
19
-
-
28444468099
-
Chip multithreading: Opportunities and challenges
-
San Francisco, CA, USA (February)
-
L. Spracklen and S. G. Abraham, Chip Multithreading: Opportunities and Challenges, Proc. of the 11th Intel's Symposium on High performance Computer Architecture (HPCA-11 2005), San Francisco, CA, USA, pp. 248-252 (February 2005).
-
(2005)
Proc. of the 11th Intel's Symposium on High Performance Computer Architecture (HPCA-11 2005)
, pp. 248-252
-
-
Spracklen, L.1
Abraham, S.G.2
-
20
-
-
2842571467
-
The case for a single-chip multiprocessor
-
Cambridge, MA (October)
-
K. Olukotun, B. A. Nayfeh, L. Hammond, K. Wilson, and Chang, K., The Case for a Single-Chip Multiprocessor, Proc. of the Seventh International Symposium, Cambridge, MA, pp. 2-11 (October 1996).
-
(1996)
Proc. of the Seventh International Symposium
, pp. 2-11
-
-
Olukotun, K.1
Nayfeh, B.A.2
Hammond, L.3
Wilson, K.4
Chang, K.5
-
21
-
-
12444282716
-
SPEAR: A hybrid model for speculative pre- execution
-
Eldorado Hotel, Santa Fe, New Mexico (April)
-
W. Ro and J. -L. Gaudiot, SPEAR: A Hybrid Model for Speculative Pre- Execution, Proc. of 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), Eldorado Hotel, Santa Fe, New Mexico, pp. 26-30 (April 2004).
-
(2004)
Proc. of 18th International Parallel and Distributed Processing Symposium (IPDPS 2004)
, pp. 26-30
-
-
Ro, W.1
Gaudiot, J.L.2
-
22
-
-
0033702230
-
Automatic compiler techniques for thread coarsening for multithreaded architectures
-
Santa Fe, New Mexico, USA (May)
-
G. M. Zoppetti, G. Agrawal, L. Pollock, J. N. Amaral, X. Tang, and G. R. Gao, Automatic Compiler Techniques for Thread Coarsening for Multithreaded Architectures, Proc. of the 14th International Conference on Supercomputing, Santa Fe, New Mexico, USA, pp. 306-315, (May 2000).
-
(2000)
Proc. of the 14th International Conference on Supercomputing
, pp. 306-315
-
-
Zoppetti, G.M.1
Agrawal, G.2
Pollock, L.3
Amaral, J.N.4
Tang, X.5
Gao, G.R.6
-
24
-
-
0035187053
-
Exploring the design space of future CMPs
-
Barcelona, Spain (September)
-
J. Huh, D. Burger, and S. W. Keckler, Exploring the Design Space of Future CMPs, Proc. Of International Conference on Parallel Architectures and Compilation Techniques, Barcelona, Spain, pp. 199-210 (September 2001).
-
(2001)
Proc. of International Conference on Parallel Architectures and Compilation Techniques
, pp. 199-210
-
-
Huh, J.1
Burger, D.2
Keckler, S.W.3
-
25
-
-
0036110799
-
Design of an 8-wide superscalar RISC microprocessor with simultaneous multithreading
-
San Francisco, CA (February)
-
R. P. Preston, et al., Design of an 8-wide Superscalar RISC microprocessor with Simultaneous Multithreading, 2002 IEEE International Solid-State Circuits Conference, San Francisco, CA, pp. 334-335 (February 2002).
-
(2002)
2002 IEEE International Solid-state Circuits Conference
, pp. 334-335
-
-
Preston, R.P.1
-
27
-
-
84944403811
-
Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction
-
San Diego, CA, USA (December)
-
R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen, Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction, Proc. of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, San Diego, CA, USA, pp. 81 (December 2003).
-
(2003)
Proc. of the 36th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 81
-
-
Kumar, R.1
Farkas, K.I.2
Jouppi, N.P.3
Ranganathan, P.4
Tullsen, D.M.5
-
28
-
-
28444470490
-
Performance, energy, and thermal considerations for SMT and CMP architectures
-
San Francisco, CA, USA (February)
-
L. Yingmin, D. Brooks, H. Zhigang, and K. Skadron, Performance, Energy, and Thermal Considerations for SMT and CMP Architectures, Proc. of the 11th IEEE International Symposium on high Performance Computer Architecture (HPCA), San Francisco, CA, USA, pp. 71-82 (February 2005).
-
(2005)
Proc. of the 11th IEEE International Symposium on High Performance Computer Architecture (HPCA)
, pp. 71-82
-
-
Yingmin, L.1
Brooks, D.2
Zhigang, H.3
Skadron, K.4
-
29
-
-
29144512753
-
Memory and architecture exploration with thread shifting for multithreaded processors in embedded systems
-
Washington DC, USA (September)
-
M. Kiemb and K. Choi, Memory and Architecture Exploration with Thread Shifting for Multithreaded Processors in Embedded Systems, Proc. of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, Washington DC, USA, pp. 230-237 (September 2004).
-
(2004)
Proc. of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 230-237
-
-
Kiemb, M.1
Choi, K.2
-
30
-
-
35048821019
-
Scalable instruction-level parallelism
-
Samos, Greece (July)
-
C. R. Jesshope, Scalable Instruction-level Parallelism, Computer Systems: Architectures, Modeling and Simulation, 3rd and 4th International Workshops, SAMOS 2004, Samos, Greece, pp. 383-392 (July 2004).
-
(2004)
Computer Systems: Architectures, Modeling and Simulation, 3rd and 4th International Workshops, SAMOS 2004
, pp. 383-392
-
-
Jesshope, C.R.1
-
31
-
-
36248988878
-
Instruction-level parallelism through microthreading - A scalable approach to chip multiprocessors
-
an Electronic Version of an article to be published in the
-
K. Bousias, N. M. Hasasneh, and C. R. Jesshope, Instruction-level Parallelism Through Microthreading - A Scalable Approach to Chip Multiprocessors, an Electronic Version of an article to be published in the BCS Computer Journal (2005). Online access: http://comjnl.oxfordjournals.org/cgi/ rapidpdf/bxh157?ijkey= EoSzke60tdKdUYz&keytype=ref
-
(2005)
BCS Computer Journal
-
-
Bousias, K.1
Hasasneh, N.M.2
Jesshope, C.R.3
-
32
-
-
33747485067
-
Micro-grids - The exploitation of massive on-chip concurrency
-
L. Grandinetti (ed.), (Invited paper, (HPC 2004)Cetraro, June 2004), Elsevier, Amsterdam
-
C. R. Jesshope, Micro-Grids - The Exploitation of Massive On-Chip Concurrency, in L. Grandinetti (ed.), Grid Computing: A New Frontier of High Performance Computing, 14 (Invited paper, (HPC 2004)Cetraro, June 2004), Elsevier, Amsterdam pp. 203-223, (2005).
-
(2005)
Grid Computing: A New Frontier of High Performance Computing
, vol.14
, pp. 203-223
-
-
Jesshope, C.R.1
-
33
-
-
33747518098
-
-
ISSCC, Department of Computer Sciences, IBM Austin Research Lab., Austin, TX
-
J. Silberman, et al., A 1.0 GHz Single Issue 64b PowerPC Integer Processor, ISSCC, Department of Computer Sciences, IBM Austin Research Lab., Austin, TX, pp. 230 (1998).
-
(1998)
A 1.0 GHz Single Issue 64b PowerPC Integer Processor
, pp. 230
-
-
Silberman, J.1
-
34
-
-
0006704808
-
Technology independent area and delay estimates for microprocessor building blocks
-
Department of Computer Sciences, the University of Texas at Austin (May)
-
S. Gupta, S. W. Keckler, and D. C. Burger, Technology Independent Area and Delay Estimates for Microprocessor Building Blocks, Tech. Report TR2000-05, Department of Computer Sciences, the University of Texas at Austin, pp. 1-27 (May 2000).
-
(2000)
Tech. Report
, vol.TR2000-05
, pp. 1-27
-
-
Gupta, S.1
Keckler, S.W.2
Burger, D.C.3
-
35
-
-
0031618582
-
Resource widening versus replication: Limits and performance-cost trade-off
-
Melbourne, Australia
-
D. Lopez, J. Llosa, M. Valero, and E. Ayguade, Resource Widening versus Replication: Limits and Performance-Cost Trade-Off, 12th International Conference on Supercomputing (ICS-12), Melbourne, Australia, pp. 441-448 (1998).
-
(1998)
12th International Conference on Supercomputing (ICS-12)
, pp. 441-448
-
-
Lopez, D.1
Llosa, J.2
Valero, M.3
Ayguade, E.4
-
36
-
-
21644440721
-
Conjoined-core chip multiprocessing
-
Portland, Oregon (December)
-
R. Kumar, N. P. Jouppi, and D. M. Tullsen, Conjoined-Core Chip Multiprocessing, Proc. of the 37th annual International Symposium on Microarchitecture (MICRO-37 2004), Portland, Oregon, pp. 195-206 (December 2004).
-
(2004)
Proc. of the 37th Annual International Symposium on Microarchitecture (MICRO-37 2004)
, pp. 195-206
-
-
Kumar, R.1
Jouppi, N.P.2
Tullsen, D.M.3
|