-
1
-
-
70049105948
-
GARNET: A detailed on-chIP network model inside a full-system simulator
-
N. Agarwal, T. Krishna, L.-S. Peh, and N. K. Jha. GARNET: A detailed on-chIP network model inside a full-system simulator. In ISPASS, pages 33-42, 2009.
-
(2009)
ISPASS
, pp. 33-42
-
-
Agarwal, N.1
Krishna, T.2
Peh, L.-S.3
Jha, N.K.4
-
3
-
-
49549108733
-
Tile64-processor: A 64-core soc with mesh interconnect
-
S. Bell et al. Tile64-processor: A 64-core soc with mesh interconnect. In ISSCC, pages 88-598, 2008.
-
(2008)
ISSCC
, pp. 88-598
-
-
Bell, S.1
-
4
-
-
84876538906
-
Nord: Node-router decoupling for effective power-gating of on-chIP routers
-
L. Chen and T. M. Pinkston. Nord: Node-router decoupling for effective power-gating of on-chIP routers. In MICRO-45, pages 270-281, 2012.
-
(2012)
MICRO-45
, pp. 270-281
-
-
Chen, L.1
Pinkston, T.M.2
-
5
-
-
84873322812
-
A scaling roadmap and performance evaluation of in-plane and perpendicular MTJ based STT-MRAMs for high-density cache memory
-
K. C. Chun et al. A scaling roadmap and performance evaluation of in-plane and perpendicular MTJ based STT-MRAMs for high-density cache memory. IEEE Journal of Solid-State Circuits, 48(2):598-610, 2013.
-
(2013)
IEEE Journal of Solid-State Circuits
, vol.48
, Issue.2
, pp. 598-610
-
-
Chun, K.C.1
-
6
-
-
84881149454
-
Catnap: Energy proportional multIPle network-on-chIP
-
R. Das, S. Narayanasamy, S. Satpathy, and R. Dreslinski. Catnap: Energy proportional multIPle network-on-chIP. In ISCA, pages 320-331, 2013.
-
(2013)
ISCA
, pp. 320-331
-
-
Das, R.1
Narayanasamy, S.2
Satpathy, S.3
Dreslinski, R.4
-
7
-
-
84862685650
-
Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory
-
X. Dong, C. Xu, Y. Xie, and N. P. Jouppi. Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. TCAD, 31(7):994-1007, 2012.
-
(2012)
TCAD
, vol.31
, Issue.7
, pp. 994-1007
-
-
Dong, X.1
Xu, C.2
Xie, Y.3
Jouppi, N.P.4
-
8
-
-
80052528714
-
Dark silicon and the end of multicore scaling
-
H. Esmaeilzadeh et al. Dark silicon and the end of multicore scaling. In ISCA, pages 365-376, 2011.
-
(2011)
ISCA
, pp. 365-376
-
-
Esmaeilzadeh, H.1
-
9
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge. Drowsy caches: simple techniques for reducing leakage power. In ISCA, pages 148-157, 2002.
-
(2002)
ISCA
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
10
-
-
36849022584
-
A 5-GHz mesh interconnect for a teraflops processor
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro, 27(5):51-61, 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
12
-
-
84862736914
-
A hybrid buffer design with STT-MRAM for on-chIP interconnects
-
IEEE
-
H. Jang et al. A hybrid buffer design with STT-MRAM for on-chIP interconnects. In NoCS, pages 193-200. IEEE, 2012.
-
(2012)
NoCS
, pp. 193-200
-
-
Jang, H.1
-
13
-
-
84863554441
-
Cache revive: Architecting volatile STT-RAM caches for enhanced performance in CMPs
-
ACM
-
A. Jog et al. Cache revive: architecting volatile STT-RAM caches for enhanced performance in CMPs. In DAC, pages 243-252. ACM, 2012.
-
(2012)
DAC
, pp. 243-252
-
-
Jog, A.1
-
14
-
-
80052660750
-
A 45nm 1Mb embedded STT-MRAM with design techniques to minimize read-disturbance
-
IEEE
-
J. P. Kim et al. A 45nm 1Mb embedded STT-MRAM with design techniques to minimize read-disturbance. In VLSIC, pages 296-297. IEEE, 2011.
-
(2011)
VLSIC
, pp. 296-297
-
-
Kim, J.P.1
-
15
-
-
84881366655
-
Impact of ultra low power and fast write operation of advanced perpendicular MTJ on power reduction for high-performance mobile CPU
-
IEEE
-
E. Kitagawa et al. Impact of ultra low power and fast write operation of advanced perpendicular MTJ on power reduction for high-performance mobile CPU. In IEDM, pages 29-4. IEEE, 2012.
-
(2012)
IEDM
, pp. 29-34
-
-
Kitagawa, E.1
-
16
-
-
49549124458
-
Run-time power gating of on-chIP routers using look-ahead routing
-
H. Matsutani, M. Koibuchi, D. Wang, and H. Amano. Run-time power gating of on-chIP routers using look-ahead routing. In ASPDAC, pages 55-60, 2008.
-
(2008)
ASPDAC
, pp. 55-60
-
-
Matsutani, H.1
Koibuchi, M.2
Wang, D.3
Amano, H.4
-
18
-
-
70450255432
-
A case for bufferless routing in on-chIP networks
-
T. Moscibroda and O. Mutlu. A case for bufferless routing in on-chIP networks. In ISCA, volume 37, pages 196-207, 2009.
-
(2009)
ISCA
, vol.37
, pp. 196-207
-
-
Moscibroda, T.1
Mutlu, O.2
-
19
-
-
34547254666
-
Voltage-frequency island partitioning for GALS-based networks-on-chIP
-
U. Y. Ogras, R. Marculescu, P. Choudhary, and D. Marculescu. Voltage-frequency island partitioning for GALS-based networks-on-chIP. In DAC, pages 110-115, 2007.
-
(2007)
DAC
, pp. 110-115
-
-
Ogras, U.Y.1
Marculescu, R.2
Choudhary, P.3
Marculescu, D.4
-
20
-
-
84883439546
-
A 1.5 nsec/2.1 nsec random read/write cycle 1Mb STT-RAM using 6T2MTJ cell with background write for nonvolatile e-memories
-
IEEE
-
T. Ohsawa et al. A 1.5 nsec/2.1 nsec random read/write cycle 1Mb STT-RAM using 6T2MTJ cell with background write for nonvolatile e-memories. In VLSIT, pages C110-C111. IEEE, 2013.
-
(2013)
VLSIT
, pp. C110-C111
-
-
Ohsawa, T.1
-
21
-
-
21644454187
-
Pinpointing representative portions of large IntelR ItaniumR programs with dynamic instrumentation
-
H. Patil, R. Cohn, M. Charney, R. Kapoor, A. Sun, and A. Karunanidhi. Pinpointing representative portions of large IntelR ItaniumR programs with dynamic instrumentation. In MICRO, pages 81-92, 2004.
-
(2004)
MICRO
, pp. 81-92
-
-
Patil, H.1
Cohn, R.2
Charney, M.3
Kapoor, R.4
Sun, A.5
Karunanidhi, A.6
-
22
-
-
0033672408
-
Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories
-
M. Powell, S.-H. Yang, B. Falsafi, K. Roy, and T. Vijaykumar. Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories. In ISLPED, pages 90-95, 2000.
-
(2000)
ISLPED
, pp. 90-95
-
-
Powell, M.1
Yang, S.-H.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.5
-
23
-
-
84880323539
-
Energy-efficient interconnect via router parking
-
IEEE
-
A. Samih et al. Energy-efficient interconnect via router parking. In HPCA, pages 508-519. IEEE, 2013.
-
(2013)
HPCA
, pp. 508-519
-
-
Samih, A.1
-
24
-
-
84862740379
-
DSENT-A tool connecting emerging photonics with electronics for opto-electronic networks-on-chIP modeling
-
C. Sun et al. DSENT-A tool connecting emerging photonics with electronics for opto-electronic networks-on-chIP modeling. In NOCS, pages 201-210, 2012.
-
(2012)
NOCS
, pp. 201-210
-
-
Sun, C.1
-
25
-
-
0036505033
-
The Raw microprocessor: A computational fabric for software circuits and general-purpose programs
-
M. B. Taylor et al. The Raw microprocessor: A computational fabric for software circuits and general-purpose programs. IEEE Micro, 22(2):25-35, 2002.
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 25-35
-
-
Taylor, M.B.1
-
26
-
-
70649096324
-
SD-vbs: The San Diego vision benchmark suite
-
S. K. Venkata, I. Ahn, D. Jeon, A. Gupta, C. Louie, S. Garcia, S. Belongie, and M. B. Taylor. SD-VBS: The San Diego vision benchmark suite. In IISWC, pages 55-64, 2009.
-
(2009)
IISWC
, pp. 55-64
-
-
Venkata, S.K.1
Ahn, I.2
Jeon, D.3
Gupta, A.4
Louie, C.5
Garcia, S.6
Belongie, S.7
Taylor, M.B.8
-
27
-
-
77952256041
-
Conservation cores: Reducing the energy of mature computations
-
G. Venkatesh et al. Conservation cores: reducing the energy of mature computations. In ACM SIGARCH Computer Architecture News, volume 38, pages 205-218, 2010.
-
(2010)
ACM SIGARCH Computer Architecture News
, vol.38
, pp. 205-218
-
-
Venkatesh, G.1
-
28
-
-
84908265342
-
Optimizing the noc slack through voltage and frequency scaling in hard real-time embedded systems
-
J. Zhan et al. Optimizing the NoC Slack Through Voltage and Frequency Scaling in Hard Real-Time Embedded Systems. TCAD, 33(11):1632-1643, 2014.
-
(2014)
TCAD
, vol.33
, Issue.11
, pp. 1632-1643
-
-
Zhan, J.1
-
29
-
-
84903210936
-
NoC-Sprinting: Interconnect for fine-grained sprinting in the dark silicon era
-
J. Zhan, Y. Xie, and G. Sun. NoC-Sprinting: Interconnect for fine-grained sprinting in the dark silicon era. In DAC, pages 1-6, 2014.
-
(2014)
DAC
, pp. 1-6
-
-
Zhan, J.1
Xie, Y.2
Sun, G.3
|