-
1
-
-
70049105948
-
Garnet: A detailed on-chip network model inside a full-system simulator
-
N. Agarwal, T. Krishna, L.-S. Peh, and N. K. Jha. Garnet: A detailed on-chip network model inside a full-system simulator. In ISPASS, pages 33-42, 2009.
-
(2009)
ISPASS
, pp. 33-42
-
-
Agarwal, N.1
Krishna, T.2
Peh, L.-S.3
Jha, N.K.4
-
4
-
-
84876538906
-
Nord: Node-router decoupling for effective power-gating of on-chip routers
-
L. Chen and T. M. Pinkston. Nord: Node-router decoupling for effective power-gating of on-chip routers. In MICRO-45, pages 270-281, 2012.
-
(2012)
MICRO-45
, pp. 270-281
-
-
Chen, L.1
Pinkston, T.M.2
-
5
-
-
84881149454
-
Catnap: Energy proportional multiple network-on-chip
-
R. Das, S. Narayanasamy, S. Satpathy, and R. Dreslinski. Catnap: Energy proportional multiple network-on-chip. In ISCA, pages 320-331, 2013.
-
(2013)
ISCA
, pp. 320-331
-
-
Das, R.1
Narayanasamy, S.2
Satpathy, S.3
Dreslinski, R.4
-
6
-
-
51049099991
-
A helper thread based edp reduction scheme for adapting application execution in cmps
-
Y. Ding, M. Kandemir, P. Raghavan, and M. J. Irwin. A helper thread based EDP reduction scheme for adapting application execution in CMPs. In IPDPS, pages 1-14, 2008.
-
(2008)
IPDPS
, pp. 1-14
-
-
Ding, Y.1
Kandemir, M.2
Raghavan, P.3
Irwin, M.J.4
-
7
-
-
44149094592
-
An efficient implementation of distributed routing algorithms for nocs
-
J. Flich, S. Rodrigo, and J. Duato. An efficient implementation of distributed routing algorithms for nocs. In NoCs, pages 87-96, 2008.
-
(2008)
NoCs
, pp. 87-96
-
-
Flich, J.1
Rodrigo, S.2
Duato, J.3
-
8
-
-
36849022584
-
A 5-ghz mesh interconnect for a teraflops processor
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. A 5-ghz mesh interconnect for a teraflops processor. Micro, IEEE, 27(5):51-61, 2007.
-
(2007)
Micro, IEEE
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
9
-
-
33746400169
-
Hotspot: A compact thermal modeling methodology for early-stage vlsi design
-
W. Huang et al. HotSpot: A compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. on VLSI, 14(5):501-513, 2006.
-
(2006)
IEEE Trans. On VLSI
, vol.14
, Issue.5
, pp. 501-513
-
-
Huang, W.1
-
10
-
-
84881446599
-
A detailed and flexible cycle-accurate network-on-chip simulator
-
N. Jiang et al. A detailed and flexible cycle-accurate network-on-chip simulator. In ISPASS, pages 86-96, 2013.
-
(2013)
ISPASS
, pp. 86-96
-
-
Jiang, N.1
-
11
-
-
84887896594
-
Single-cycle multihop asynchronous repeated traversal: A smart future for reconfigurable on-chip networks
-
T. Krishna et al. Single-Cycle Multihop Asynchronous Repeated Traversal: A SMART Future for Reconfigurable On-Chip Networks. Computer, 46(40):48-55, 2013.
-
(2013)
Computer
, vol.46
, Issue.40
, pp. 48-55
-
-
Krishna, T.1
-
12
-
-
33748879741
-
Dynamic power-performance adaptation of parallel computation on chip multiprocessors
-
J. Li and J. F. Martinez. Dynamic power-performance adaptation of parallel computation on chip multiprocessors. In HPCA, pages 77-87, 2006.
-
(2006)
HPCA
, pp. 77-87
-
-
Li, J.1
Martinez, J.F.2
-
13
-
-
76749146060
-
Mcpat: An integrated power area and timing modeling framework for multicore and manycore architectures
-
S. Li et al. McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In MICRO-42, pages 469-480, 2009.
-
(2009)
MICRO-42
, pp. 469-480
-
-
Li, S.1
-
14
-
-
49549124458
-
Run-time power gating of on-chip routers using look-ahead routing
-
H. Matsutani, M. Koibuchi, D. Wang, and H. Amano. Run-time power gating of on-chip routers using look-ahead routing. In ASP-DAC, pages 55-60, 2008.
-
(2008)
ASP-DAC
, pp. 55-60
-
-
Matsutani, H.1
Koibuchi, M.2
Wang, D.3
Amano, H.4
-
15
-
-
78650814177
-
The 48-core scc processor: The programmer's view
-
T. G. Mattson et al. The 48-core scc processor: the programmer's view. In SC, pages 1-11, 2010.
-
(2010)
SC
, pp. 1-11
-
-
Mattson, T.G.1
-
16
-
-
76749136117
-
Implementation of an 8-core, 64-thread, power-efficient sparc server on a chip
-
U. G. Nawathe et al. Implementation of an 8-core, 64-thread, power-efficient sparc server on a chip. IEEE JSSC, 43(1):6-20, 2008.
-
(2008)
IEEE JSSC
, vol.43
, Issue.1
, pp. 6-20
-
-
Nawathe, U.G.1
-
17
-
-
84889605902
-
Computational sprinting
-
A. Raghavan et al. Computational sprinting. In HPCA, pages 1-12, 2012.
-
(2012)
HPCA
, pp. 1-12
-
-
Raghavan, A.1
-
18
-
-
84880323539
-
Energy-efficient interconnect via router parking
-
A. Samih et al. Energy-efficient interconnect via router parking. In HPCA, pages 508-519, 2013.
-
(2013)
HPCA
, pp. 508-519
-
-
Samih, A.1
-
19
-
-
84862740379
-
Dsent-A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling
-
C. Sun et al. DSENT-a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In NoCS, pages 201-210, 2012.
-
(2012)
NoCS
, pp. 201-210
-
-
Sun, C.1
-
20
-
-
0036505033
-
The raw microprocessor: A computational fabric for software circuits and general-purpose programs
-
M. B. Taylor et al. The Raw microprocessor: A computational fabric for software circuits and general-purpose programs. Micro, IEEE, 22(2):25-35, 2002.
-
(2002)
Micro, IEEE
, vol.22
, Issue.2
, pp. 25-35
-
-
Taylor, M.B.1
-
21
-
-
77952256041
-
Conservation cores: Reducing the energy of mature computations
-
G. Venkatesh et al. Conservation cores: reducing the energy of mature computations. ACM SIGARCH Computer Architecture News, 38(1):205-218, 2010.
-
(2010)
ACM SIGARCH Computer Architecture News
, vol.38
, Issue.1
, pp. 205-218
-
-
Venkatesh, G.1
|