-
1
-
-
84880088960
-
-
Trips toolchain, http://www.cs.utexas.edu/trips/dist/.
-
Trips Toolchain
-
-
-
2
-
-
0004072686
-
-
A. V. Aho, M. S. Lam, R. Sethi, and J. D. Ullman. Compilers: Principles, Techniques, and Tools.
-
Compilers: Principles, Techniques, and Tools
-
-
Aho, A.V.1
Lam, M.S.2
Sethi, R.3
Ullman, J.D.4
-
3
-
-
84880090451
-
A theoretical and practical approach to instruction scheduling on spatial architectures
-
S. Amarasinghe, D. R. Karger, W. Lee, and V. S. Mirrokni. A theoretical and practical approach to instruction scheduling on spatial architectures. Technical report, MIT, 2002.
-
(2002)
Technical Report, MIT
-
-
Amarasinghe, S.1
Karger, D.R.2
Lee, W.3
Mirrokni, V.S.4
-
6
-
-
77954986440
-
Energy-performance tradeoffs in processor architecture and circuit design: A marginal cost analysis
-
O. Azizi, A. Mahesri, B. C. Lee, S. J. Patel, and M. Horowitz. Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis. In ISCA 2010.
-
ISCA 2010
-
-
Azizi, O.1
Mahesri, A.2
Lee, B.C.3
Patel, S.J.4
Horowitz, M.5
-
8
-
-
79955370378
-
The future of microprocessors
-
S. Borkar and A. A. Chien. The future of microprocessors. Commun. ACM, 54(5):67-77, 2011.
-
(2011)
Commun. ACM
, vol.54
, Issue.5
, pp. 67-77
-
-
Borkar, S.1
Chien, A.A.2
-
9
-
-
3242815471
-
Scaling to the end of silicon with EDGE architectures
-
the TRIPS Team
-
D. Burger, S. W. Keckler, K. S. McKinley, M. Dahlin, L. K. John, C. Lin, C. R. Moore, J. Burrill, R. G. McDonald, W. Yoder, and the TRIPS Team. Scaling to the end of silicon with EDGE architectures. IEEE Computer, 37(7):44-55, 2004.
-
(2004)
IEEE Computer
, vol.37
, Issue.7
, pp. 44-55
-
-
Burger, D.1
Keckler, S.W.2
McKinley, K.S.3
Dahlin, M.4
John, L.K.5
Lin, C.6
Moore, C.R.7
Burrill, J.8
McDonald, R.G.9
Yoder, W.10
-
10
-
-
21644435314
-
Application-specific processing on a general-purpose core via transparent instruction set customization
-
N. Clark, M. Kudlur, H. Park, S. Mahlke, and K. Flautner. Application-specific processing on a general-purpose core via transparent instruction set customization. In MICRO 2004.
-
MICRO 2004
-
-
Clark, N.1
Kudlur, M.2
Park, H.3
Mahlke, S.4
Flautner, K.5
-
11
-
-
69649095125
-
Synthesis algorithm for application-specific homogeneous processor networks
-
Sept.
-
J. Cong, K. Gururaj, G. Han, and W. Jiang. Synthesis algorithm for application-specific homogeneous processor networks. IEEE Trans. Very Large Scale Integr. Syst., 17(9), Sept. 2009.
-
(2009)
IEEE Trans. Very Large Scale Integr. Syst.
, vol.17
, Issue.9
-
-
Cong, J.1
Gururaj, K.2
Han, G.3
Jiang, W.4
-
14
-
-
47249164288
-
Z3: An efficient SMT solver
-
L. de Moura and N. Bjørner. Z3: An efficient SMT solver. In TACAS, 2008.
-
(2008)
TACAS
-
-
De Moura, L.1
Bjørner, N.2
-
16
-
-
0030651912
-
Efficient formulation for optimal modulo schedulers
-
A. E. Eichenberger and E. S. Davidson. Efficient formulation for optimal modulo schedulers. In PLDI 1997.
-
(1997)
PLDI
-
-
Eichenberger, A.E.1
Davidson, E.S.2
-
21
-
-
43449134121
-
Modulo scheduling for highly customized datapaths to increase hardware reusability
-
K. Fan, H. h. Park, M. Kudlur, and S. o. Mahlke. Modulo scheduling for highly customized datapaths to increase hardware reusability. In CGO 2008.
-
(2008)
CGO
-
-
Fan, K.1
Park, H.H.2
Kudlur, M.3
Mahlke, S.O.4
-
22
-
-
0026933251
-
Some efficient solutions to the affine scheduling problem
-
P. Feautrier. Some efficient solutions to the affine scheduling problem. International Journal of Parallel Programming, 21:313-347, 1992.
-
(1992)
International Journal of Parallel Programming
, vol.21
, pp. 313-347
-
-
Feautrier, P.1
-
23
-
-
67650065540
-
An evaluation of the trips computer system
-
M. Gebhart, B. A. Maher, K. E. Coons, J. Diamond, P. Gratz, M. Marino, N. Ranganathan, B. Robatmili, A. Smith, J. Burrill, S. W. Keckler, D. Burger, and K. S. McKinley. An evaluation of the trips computer system. In ASPLOS 2009.
-
(2009)
ASPLOS
-
-
Gebhart, M.1
Maher, B.A.2
Coons, K.E.3
Diamond, J.4
Gratz, P.5
Marino, M.6
Ranganathan, N.7
Robatmili, B.8
Smith, A.9
Burrill, J.10
Keckler, S.W.11
Burger, D.12
McKinley, K.S.13
-
24
-
-
80053138449
-
First-order mixed integer linear programming
-
G. J. Gordon, S. A. Hong, and M. Dudík. First-order mixed integer linear programming. In UAI 2009.
-
(2009)
UAI
-
-
Gordon, G.J.1
Hong, S.A.2
Dudík, M.3
-
25
-
-
84869168810
-
Dyser: Unifying functionality and parallelism specialization for energy efficient computing
-
V. Govindaraju, C.-H. Ho, T. Nowatzki, J. Chhugani, N. Satish, K. Sankaralingam, and C. Kim. Dyser: Unifying functionality and parallelism specialization for energy efficient computing. IEEE Micro, 33(5), 2012.
-
(2012)
IEEE Micro
, vol.33
, Issue.5
-
-
Govindaraju, V.1
Ho, C.-H.2
Nowatzki, T.3
Chhugani, J.4
Satish, N.5
Sankaralingam, K.6
Kim, C.7
-
26
-
-
79955890625
-
Dynamically specialized datapaths for energy efficient computing
-
V. Govindaraju, C.-H. Ho, and K. Sankaralingam. Dynamically specialized datapaths for energy efficient computing. In HPCA 2011.
-
(2011)
HPCA
-
-
Govindaraju, V.1
Ho, C.-H.2
Sankaralingam, K.3
-
27
-
-
84863374615
-
Bundled execution of recurring traces for energy-efficient general purpose processing
-
S. Gupta, S. Feng, A. Ansari, S. Mahlke, and D. August. Bundled execution of recurring traces for energy-efficient general purpose processing. In MICRO 2011.
-
(2011)
MICRO
-
-
Gupta, S.1
Feng, S.2
Ansari, A.3
Mahlke, S.4
August, D.5
-
28
-
-
79961040286
-
Toward dark silicon in servers
-
N. Hardavellas, M. Ferdman, B. Falsafi, and A. Ailamaki. Toward dark silicon in servers. IEEE Micro, 31(4):6-15, 2011.
-
(2011)
IEEE Micro
, vol.31
, Issue.4
, pp. 6-15
-
-
Hardavellas, N.1
Ferdman, M.2
Falsafi, B.3
Ailamaki, A.4
-
29
-
-
2542528067
-
Logic, optimization and constraint programming
-
J. N. Hooker. Logic, optimization and constraint programming. INFORMS Journal on Computing, 14:295-321, 2002.
-
(2002)
INFORMS Journal on Computing
, vol.14
, pp. 295-321
-
-
Hooker, J.N.1
-
30
-
-
18544400246
-
Mixed logical-linear programming
-
Oct.
-
J. N. Hooker and M. A. Osorio. Mixed logical-linear programming. Discrete Appl. Math., 96-97(1), Oct. 1999.
-
(1999)
Discrete Appl. Math.
, vol.96-97
, Issue.1
-
-
Hooker, J.N.1
Osorio, M.A.2
-
31
-
-
85008021361
-
The design of dynamically reconfigurable datapath coprocessors
-
May
-
Z. Huang, S. Malik, N. Moreano, and G. Araujo. The design of dynamically reconfigurable datapath coprocessors. ACM Trans. Embed. Comput. Syst., 3(2):361-384, May 2004.
-
(2004)
ACM Trans. Embed. Comput. Syst.
, vol.3
, Issue.2
, pp. 361-384
-
-
Huang, Z.1
Malik, S.2
Moreano, N.3
Araujo, G.4
-
32
-
-
0036038149
-
Denali: A goal-directed superop-timizer
-
R. Joshi, G. Nelson, and K. Randall. Denali: a goal-directed superop-timizer. In PLDI 2002.
-
(2002)
PLDI
-
-
Joshi, R.1
Nelson, G.2
Randall, K.3
-
33
-
-
0034836754
-
Cars: A new code generation framework for clustered ilp processors
-
K. Kailas and A. Agrawala. Cars: A new code generation framework for clustered ilp processors. In HPCA 2001.
-
(2001)
HPCA
-
-
Kailas, K.1
Agrawala, A.2
-
34
-
-
57349172999
-
Orchestrating the execution of stream programs on multicore platforms
-
M. Kudlur and S. Mahlke. Orchestrating the execution of stream programs on multicore platforms. In PLDI 2008.
-
(2008)
PLDI
-
-
Kudlur, M.1
Mahlke, S.2
-
35
-
-
78149248515
-
Design and implementation of the plug architecture for programmable and efficient network lookups
-
A. Kumar, L. De Carli, S. J. Kim, M. de Kruijf, K. Sankaralingam, C. Estan, and S. Jha. Design and implementation of the plug architecture for programmable and efficient network lookups. In PACT 2010.
-
(2010)
PACT
-
-
Kumar, A.1
De Carli, L.2
Kim, S.J.3
De Kruijf, M.4
Sankaralingam, K.5
Estan, C.6
Jha, S.7
-
36
-
-
0031599788
-
Space-time scheduling of instruction-level parallelism on a raw machine
-
W. Lee, R. Barua, M. Frank, D. Srikrishna, J. Babb, V. Sarkar, and S. Amarasinghe. Space-time scheduling of instruction-level parallelism on a raw machine. In ASPLOS 1998.
-
(1998)
ASPLOS
-
-
Lee, W.1
Barua, R.2
Frank, M.3
Srikrishna, D.4
Babb, J.5
Sarkar, V.6
Amarasinghe, S.7
-
37
-
-
34249657123
-
Instruction scheduling for a tiled dataflow architecture
-
M. Mercaldi, S. Swanson, A. Petersen, A. Putnam, A. Schwerin, M. Oskin, and S. J. Eggers. Instruction scheduling for a tiled dataflow architecture. In ASPLOS 2006.
-
(2006)
ASPLOS
-
-
Mercaldi, M.1
Swanson, S.2
Petersen, A.3
Putnam, A.4
Schwerin, A.5
Oskin, M.6
Eggers, S.J.7
-
38
-
-
33749567944
-
Modeling instruction placement on a spatial architecture
-
M. Mercaldi, S. Swanson, A. Petersen, A. Putnam, A. Schwerin, M. Oskin, and S. J. Eggers. Modeling instruction placement on a spatial architecture. In SPAA 2006.
-
(2006)
SPAA
-
-
Mercaldi, M.1
Swanson, S.2
Petersen, A.3
Putnam, A.4
Schwerin, A.5
Oskin, M.6
Eggers, S.J.7
-
39
-
-
34547456544
-
Tartan: Evaluating spatial computation for whole program execution
-
M. Mishra, T. J. Callahan, T. Chelcea, G. Venkataramani, M. Budiu, and S. C. Goldstein. Tartan: Evaluating spatial computation for whole program execution. In ASPLOS 2006.
-
(2006)
ASPLOS
-
-
Mishra, M.1
Callahan, T.J.2
Chelcea, T.3
Venkataramani, G.4
Budiu, M.5
Goldstein, S.C.6
-
40
-
-
10444276442
-
Static placement, dynamic issue (spdi) scheduling for edge architectures
-
R. Nagarajan, S. K. Kushwaha, D. Burger, K. S. McKinley, C. Lin, and S. W. Keckler. Static placement, dynamic issue (spdi) scheduling for edge architectures. In PACT 2004.
-
(2004)
PACT
-
-
Nagarajan, R.1
Kushwaha, S.K.2
Burger, D.3
McKinley, K.S.4
Lin, C.5
Keckler, S.W.6
-
41
-
-
84880089769
-
Unified assign and schedule: A new approach to scheduling for clustered register file microarchitectures
-
E. Özer, S. Banerjia, and T. M. Conte. Unified assign and schedule: a new approach to scheduling for clustered register file microarchitectures. In MICRO 31.
-
MICRO
, vol.31
-
-
Özer, E.1
Banerjia, S.2
Conte, T.M.3
-
43
-
-
63549126166
-
Edge-centric modulo scheduling for coarse-grained reconfigurable architectures
-
H. Park, K. Fan, S. A. Mahlke, T. Oh, H. Kim, and H.-s. Kim. Edge-centric modulo scheduling for coarse-grained reconfigurable architectures. In PACT 2008.
-
(2008)
PACT
-
-
Park, H.1
Fan, K.2
Mahlke, S.A.3
Oh, T.4
Kim, H.5
Kim, H.-S.6
-
44
-
-
0026278958
-
The omega test: A fast and practical integer programming algorithm for dependence analysis
-
W. Pugh. The omega test: a fast and practical integer programming algorithm for dependence analysis. In Supercomputing 1991.
-
(1991)
Supercomputing
-
-
Pugh, W.1
-
45
-
-
34548312073
-
A decomposition-based constraint optimization approach for statically scheduling task graphs with communication delays to multiprocessors
-
N. Satish, K. Ravindran, and K. Keutzer. A decomposition-based constraint optimization approach for statically scheduling task graphs with communication delays to multiprocessors. In DATE 2007.
-
(2007)
DATE
-
-
Satish, N.1
Ravindran, K.2
Keutzer, K.3
-
47
-
-
47749104166
-
Flexcore: Utilizing exposed datapath control for efficient computing
-
M. Thuresson, M. Sjalander, M. Bjork, L. Svensson, P. Larsson-Edefors, and P. Stenstrom. Flexcore: Utilizing exposed datapath control for efficient computing. In IC-SAMOS 2007.
-
(2007)
IC-SAMOS
-
-
Thuresson, M.1
Sjalander, M.2
Bjork, M.3
Svensson, L.4
Larsson-Edefors, P.5
Stenstrom, P.6
-
48
-
-
77952256041
-
Conservation cores: Reducing the energy of mature computations
-
G. Venkatesh, J. Sampson, N. Goulding, S. Garcia, V. Bryksin, J. Lugo-Martinez, S. Swanson, and M. B. Taylor. Conservation cores: reducing the energy of mature computations. In ASPLOS 2010.
-
(2010)
ASPLOS
-
-
Venkatesh, G.1
Sampson, J.2
Goulding, N.3
Garcia, S.4
Bryksin, V.5
Lugo-Martinez, J.6
Swanson, S.7
Taylor, M.B.8
-
49
-
-
0000518993
-
An integer linear-programming model for machine scheduling
-
H. M. Wagner. An integer linear-programming model for machine scheduling. Naval Research Logistics Quarterly, 6(2):131-140, 1959.
-
(1959)
Naval Research Logistics Quarterly
, vol.6
, Issue.2
, pp. 131-140
-
-
Wagner, H.M.1
-
50
-
-
0031236158
-
Baring it all to software: Raw machines
-
E. Waingold, M. Taylor, D. Srikrishna, V. Sarkar, W. Lee, V. Lee, J. Kim, M. Frank, P. Finch, R. Barua, J. Babb, S. Amarasinghe, and A. Agarwal. Baring It All to Software: RAW Machines. Computer, 30(9):86-93, 1997.
-
(1997)
Computer
, vol.30
, Issue.9
, pp. 86-93
-
-
Waingold, E.1
Taylor, M.2
Srikrishna, D.3
Sarkar, V.4
Lee, W.5
Lee, V.6
Kim, J.7
Frank, M.8
Finch, P.9
Barua, R.10
Babb, J.11
Amarasinghe, S.12
Agarwal, A.13
|