-
1
-
-
77953118550
-
An integrated framework for joint design space exploration of microarchitecture and circuits
-
O. Azizi, A. Mahesri, J. P. Stevenson, S. Patel, and M. Horowitz. An integrated framework for joint design space exploration of microarchitecture and circuits. In DATE '10: Proceedings of the conference on Design, automation and test in Europe, pages 250-255, 2010.
-
(2010)
DATE ' 10: Proceedings of the Conference on Design, Automation and Test in Europe
, pp. 250-255
-
-
Azizi, O.1
Mahesri, A.2
Stevenson, J.P.3
Patel, S.4
Horowitz, M.5
-
2
-
-
0032070245
-
Performance analysis and its impact on design
-
P. Bose and T. M. Conte. Performance analysis and its impact on design. Computer, 31(5):41-49, 1998.
-
(1998)
Computer
, vol.31
, Issue.5
, pp. 41-49
-
-
Bose, P.1
Conte, T.M.2
-
4
-
-
0346898058
-
New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors
-
D. Brooks, P. Bose, V. Srinivasan, M. K. Gschwind, P. G. Emma, and M. G. Rosenfield. New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors. IBM J. Res. Dev., 47(5-6):653-670, 2003.
-
(2003)
IBM J. Res. Dev.
, vol.47
, Issue.5-6
, pp. 653-670
-
-
Brooks, D.1
Bose, P.2
Srinivasan, V.3
Gschwind, M.K.4
Emma, P.G.5
Rosenfield, M.G.6
-
5
-
-
0033719421
-
Wattch: A framework for architectural-level power analysis and optimizations
-
D. Brooks, V. Tiwari, and M. Martonosi. Wattch: a framework for architectural-level power analysis and optimizations. SIGARCH Comput. Archit. News, 28(2):83-94, 2000.
-
(2000)
SIGARCH Comput. Archit. News
, vol.28
, Issue.2
, pp. 83-94
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
-
6
-
-
0032667128
-
Gradient-based optimization of custom circuits using a static-timing formulation
-
New York, NY, USA. ACM
-
A. R. Conn, I. M. Elfadel, J. W. W. Molzen, P. R. O'Brien, P. N. Strenski, C. Visweswariah, and C. B. Whan. Gradient-based optimization of custom circuits using a static-timing formulation. In DAC '99: Proceedings of the 36th ACM/IEEE conference on Design automation, pages 452-459, New York, NY, USA, 1999. ACM.
-
(1999)
DAC ' 99: Proceedings of the 36th ACM/IEEE Conference on Design Automation
, pp. 452-459
-
-
Conn, A.R.1
Elfadel, I.M.2
Molzen, J.W.W.3
O'brien, P.R.4
Strenski, P.N.5
Visweswariah, C.6
Whan, C.B.7
-
7
-
-
0016116644
-
Design of ion-implanted mosfet's with very small physical dimensions
-
Oct
-
R. Dennard, F. Gaensslen, V. Rideout, E. Bassous, and A. LeBlanc. Design of ion-implanted mosfet's with very small physical dimensions. Solid-State Circuits, IEEE Journal of, 9(5):256-268, Oct 1974.
-
(1974)
Solid-State Circuits, IEEE Journal of
, vol.9
, Issue.5
, pp. 256-268
-
-
Dennard, R.1
Gaensslen, F.2
Rideout, V.3
Bassous, E.4
Leblanc, A.5
-
8
-
-
47349128966
-
Microarchitectural design space exploration using an architecture-centric approach
-
Washington, DC, USA. IEEE Computer Society
-
C. Dubach, T. Jones, and M. O'Boyle. Microarchitectural design space exploration using an architecture-centric approach. In MICRO '07: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, pages 262-271, Washington, DC, USA, 2007. IEEE Computer Society.
-
(2007)
MICRO ' 07: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 262-271
-
-
Dubach, C.1
Jones, T.2
O'boyle, M.3
-
9
-
-
0032311964
-
The yags branch prediction scheme
-
Los Alamitos, CA, USA. IEEE Computer Society Press
-
A. N. Eden and T. Mudge. The yags branch prediction scheme. In MICRO 31: Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture, pages 69-77, Los Alamitos, CA, USA, 1998. IEEE Computer Society Press.
-
(1998)
MICRO 31: Proceedings of the 31st Annual ACM/IEEE International Symposium on Microarchitecture
, pp. 69-77
-
-
Eden, A.N.1
Mudge, T.2
-
11
-
-
0003485914
-
-
Morgan Kaufmann Publishers Inc., San Francisco, CA, USA
-
D. Harris. Skew-tolerant circuit design. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA, 2001.
-
(2001)
Skew-tolerant Circuit Design
-
-
Harris, D.1
-
12
-
-
34247384969
-
The optimum pipeline depth considering both power and performance
-
A. Hartstein and T. R. Puzak. The optimum pipeline depth considering both power and performance. ACM Trans. Archit. Code Optim., 1(4):369-388, 2004.
-
(2004)
ACM Trans. Archit. Code Optim.
, vol.1
, Issue.4
, pp. 369-388
-
-
Hartstein, A.1
Puzak, T.R.2
-
13
-
-
48249118853
-
Amdahl's law in the multicore era
-
M. D. Hill and M. R. Marty. Amdahl's law in the multicore era. Computer, 41(7):33-38, 2008.
-
(2008)
Computer
, vol.41
, Issue.7
, pp. 33-38
-
-
Hill, M.D.1
Marty, M.R.2
-
14
-
-
33847708700
-
Scaling, power, and the future of cmos
-
pages 7, Dec.
-
M. Horowitz, E. Alon, D. Patil, S. Naffziger, R. Kumar, and K. Bernstein. Scaling, power, and the future of cmos. In Electron Devices Meeting, 2005. IEDM Technical Digest. IEEE International, pages 7 pp.-15, Dec. 2005.
-
(2005)
Electron Devices Meeting, 2005. IEDM Technical Digest. IEEE International
, pp. 15
-
-
Horowitz, M.1
Alon, E.2
Patil, D.3
Naffziger, S.4
Kumar, R.5
Bernstein, K.6
-
15
-
-
34547417098
-
Efficiently exploring architectural design spaces via predictive modeling
-
E. Ïpek, S. A. McKee, R. Caruana, B. R. de Supinski, and M. Schulz. Efficiently exploring architectural design spaces via predictive modeling. SIGARCH Comput. Archit. News, 34(5):195-206, 2006.
-
(2006)
SIGARCH Comput. Archit. News
, vol.34
, Issue.5
, pp. 195-206
-
-
Ïpek, E.1
McKee, S.A.2
Caruana, R.3
De Supinski, B.R.4
Schulz, M.5
-
17
-
-
35348870650
-
Automated design of application specific superscalar processors: An analytical approach
-
New York, NY, USA. ACM
-
T. S. Karkhanis and J. E. Smith. Automated design of application specific superscalar processors: an analytical approach. In ISCA '07: Proceedings of the 34th annual international symposium on Computer architecture, pages 402-411, New York, NY, USA, 2007. ACM.
-
(2007)
ISCA ' 07: Proceedings of the 34th Annual International Symposium on Computer Architecture
, pp. 402-411
-
-
Karkhanis, T.S.1
Smith, J.E.2
-
18
-
-
34547288276
-
Accurate and efficient regression modeling for microarchitectural performance and power prediction
-
B. C. Lee and D. M. Brooks. Accurate and efficient regression modeling for microarchitectural performance and power prediction. SIGARCH Comput. Archit. News, 34(5):185-194, 2006.
-
(2006)
SIGARCH Comput. Archit. News
, vol.34
, Issue.5
, pp. 185-194
-
-
Lee, B.C.1
Brooks, D.M.2
-
21
-
-
36049008846
-
Robust energy-efficient adder topologies
-
Washington, DC, USA. IEEE Computer Society
-
D. Patil, O. Azizi, M. Horowitz, R. Ho, and R. Ananthraman. Robust energy-efficient adder topologies. In ARITH '07: Proceedings of the 18th IEEE Symposium on Computer Arithmetic, pages 16-28, Washington, DC, USA, 2007. IEEE Computer Society.
-
(2007)
ARITH ' 07: Proceedings of the 18th IEEE Symposium on Computer Arithmetic
, pp. 16-28
-
-
Patil, D.1
Azizi, O.2
Horowitz, M.3
Ho, R.4
Ananthraman, R.5
-
22
-
-
77953085649
-
-
Technical report, Department of Electrical Engineering, Stanford University
-
D. Patil, S. J. Kim, and M. Horowitz. Joint supply, threshold voltage and sizing optimization for design of robust digital circuits. Technical report, Department of Electrical Engineering, Stanford University.
-
Joint Supply, Threshold Voltage and Sizing Optimization for Design of Robust Digital Circuits
-
-
Patil, D.1
Kim, S.J.2
Horowitz, M.3
-
23
-
-
34548125229
-
Multi-dimensional circuit and micro-architecture level optimization
-
Washington, DC, USA. IEEE Computer Society
-
Z. J. Qi, M. Ziegler, S. V. Kosonocky, J. M. Rabaey, and M. R. Stan. Multi-dimensional circuit and micro-architecture level optimization. In ISQED '07: Proceedings of the 8th International Symposium on Quality Electronic Design, pages 275-280, Washington, DC, USA, 2007. IEEE Computer Society.
-
(2007)
ISQED ' 07: Proceedings of the 8th International Symposium on Quality Electronic Design
, pp. 275-280
-
-
Qi, Z.J.1
Ziegler, M.2
Kosonocky, S.V.3
Rabaey, J.M.4
Stan, M.R.5
-
24
-
-
0032202810
-
A 1.0-ghz single-issue 64-bit powerpc integer processor
-
Nov
-
J. Silberman, N. Aoki, D. Boerstler, J. Burns, S. Dhong, A. Essbaum, U. Ghoshal, D. Heidel, P. Hofstee, K. T. Lee, D. Meltzer, H. Ngo, K. Nowka, S. Posluszny, O. Takahashi, I. Vo, and B. Zoric. A 1.0-ghz single-issue 64-bit powerpc integer processor. Solid-State Circuits, IEEE Journal of, 33(11):1600-1608, Nov 1998.
-
(1998)
Solid-State Circuits, IEEE Journal of
, vol.33
, Issue.11
, pp. 1600-1608
-
-
Silberman, J.1
Aoki, N.2
Boerstler, D.3
Burns, J.4
Dhong, S.5
Essbaum, A.6
Ghoshal, U.7
Heidel, D.8
Hofstee, P.9
Lee, K.T.10
Meltzer, D.11
Ngo, H.12
Nowka, K.13
Posluszny, S.14
Takahashi, O.15
Vo, I.16
Zoric, B.17
-
25
-
-
84948974161
-
Optimizing pipelines for power and performance
-
Los Alamitos, CA, USA. IEEE Computer Society Press
-
V. Srinivasan, D. Brooks, M. Gschwind, P. Bose, V. Zyuban, P. N. Strenski, and P. G. Emma. Optimizing pipelines for power and performance. In MICRO 35: Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, pages 333-344, Los Alamitos, CA, USA, 2002. IEEE Computer Society Press.
-
(2002)
MICRO 35: Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture
, pp. 333-344
-
-
Srinivasan, V.1
Brooks, D.2
Gschwind, M.3
Bose, P.4
Zyuban, V.5
Strenski, P.N.6
Emma, P.G.7
-
26
-
-
3242680845
-
Integrated analysis of power and performance for pipelined microprocessors
-
Aug.
-
V. Zyuban, D. Brooks, V. Srinivasan, M. Gschwind, P. Bose, P. Strenski, and P. Emma. Integrated analysis of power and performance for pipelined microprocessors. Computers, IEEE Transactions on, 53(8):1004-1016, Aug. 2004.
-
(2004)
Computers IEEE Transactions on
, vol.53
, Issue.8
, pp. 1004-1016
-
-
Zyuban, V.1
Brooks, D.2
Srinivasan, V.3
Gschwind, M.4
Bose, P.5
Strenski, P.6
Emma, P.7
-
27
-
-
0036953966
-
Unified methodology for resolving power-performance tradeoffs at the microarchitectural and circuit levels
-
New York, NY, USA. ACM
-
V. Zyuban and P. Strenski. Unified methodology for resolving power-performance tradeoffs at the microarchitectural and circuit levels. In ISLPED '02: Proceedings of the 2002 international symposium on Low power electronics and design, pages 166-171, New York, NY, USA, 2002. ACM.
-
ISLPED ' 02: Proceedings of the 2002 International Symposium on Low Power Electronics and Design
, Issue.2002
, pp. 166-171
-
-
Zyuban, V.1
Strenski, P.2
|