-
1
-
-
3042535216
-
Distinctive image features from scale-invariant keypoints
-
D. G. Lowe, "Distinctive image features from scale-invariant keypoints," Int. J. Computer Vision, vol. 60, no. 2, pp. 91-110, 2004.
-
(2004)
Int. J. Computer Vision
, vol.60
, Issue.2
, pp. 91-110
-
-
Lowe, D.G.1
-
2
-
-
77955798776
-
MOPED: A scalable and low latency object recognition and pose estimation system
-
May
-
M. Martinez, A. Collet, and S. S. Srinivasa, "MOPED: A scalable and low latency object recognition and pose estimation system," in Proc. IEEE Int. Conf. Robotics and Automation, May 2010, pp. 2043-2049.
-
(2010)
Proc. IEEE Int. Conf. Robotics and Automation
, pp. 2043-2049
-
-
Martinez, M.1
Collet, A.2
Srinivasa, S.S.3
-
3
-
-
0036858553
-
A 600-MHz VLIW DSP
-
DOI 10.1109/JSSC.2002.803954
-
S. Agarwala, T. Anderson, A. Hill, M. D. Ales, R. Damodaran, P. Wiley, S. Mullinnix, J. Leach, A. Lell, M. Gill, A. Rajagopal, A. Chachad, M. Agarwala, J. Apostol, M. Krishnan, D. Bui, Q. An, N. S. Nagaraj, T. Wolf, and T. T. Elappuparackal, "A 600-MHz VLIW DSP," IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1532-1544, Nov. 2002. (Pubitemid 35432176)
-
(2002)
IEEE Journal of Solid-State Circuits
, vol.37
, Issue.11
, pp. 1532-1544
-
-
Agarwala, S.1
Anderson, T.2
Hill, A.3
Ales, M.D.4
Damodaran, R.5
Wiley, P.6
Mullinnix, S.7
Leach, J.8
Lell, A.9
Gill, M.10
Rajagopal, A.11
Chachad, A.12
Agarwala, M.13
Apostol, J.14
Krishnan, M.15
Bui, D.16
An, Q.17
Nagaraj, N.S.18
Wolf, T.19
Elappuparackal, T.T.20
more..
-
4
-
-
79955435088
-
FERMI GF100 GPU architecture
-
C. M. Wittenbrink, E. Kilgariff, and A. Prabhu, "FERMI GF100 GPU architecture," IEEE Micro, vol. 31, no. 2, pp. 50-59, 2011.
-
(2011)
IEEE Micro
, vol.31
, Issue.2
, pp. 50-59
-
-
Wittenbrink, C.M.1
Kilgariff, E.2
Prabhu, A.3
-
5
-
-
33646759959
-
A multi-core SoC for advanced image and video compression
-
Mar
-
A. Dehnhardt, M. B. Kulaczewski, L. Friebe, S. Moch, P. Pirsch, H.-J. Stolberg, and C. Reuter, "A multi-core SoC for advanced image and video compression," in Proc. IEEE Int. Conf. Acoustics, Speech, and Signal Processing, Mar. 2005, vol. 5, pp. 665-668.
-
(2005)
Proc. IEEE Int. Conf. Acoustics, Speech, and Signal Processing
, vol.5
, pp. 665-668
-
-
Dehnhardt, A.1
Kulaczewski, M.B.2
Friebe, L.3
Moch, S.4
Pirsch, P.5
Stolberg, H.-J.6
Reuter, C.7
-
6
-
-
51949098692
-
100 GOPS in-vehicle vision processor for pre-crash safety systems
-
June
-
S. Kyo, S. Okazaki, T. Koga, and F. Hidano, "100 GOPS in-vehicle vision processor for pre-crash safety systems," in Proc. IEEE Int. Symp. VLSI Circuits, June 2008, pp. 28-29.
-
(2008)
Proc. IEEE Int. Symp. VLSI Circuits
, pp. 28-29
-
-
Kyo, S.1
Okazaki, S.2
Koga, T.3
Hidano, F.4
-
7
-
-
85008020071
-
A programmable 512 GOPS stream processor for signal, omage, and video processing
-
Jan
-
B. K. Khailany, T.Williams, J. Lin, E. P. Long,M. Rygh, D.W. Tovey, and W. J. Dally, "A programmable 512 GOPS stream processor for signal, omage, and video processing," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 202-213, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 202-213
-
-
Khailany, B.K.1
Williams, T.2
Lin, J.3
Longm. Rygh, E.P.4
Tovey, D.W.5
Dally, W.J.6
-
8
-
-
78650886575
-
A 345 mW heterogeneous many-core processor with an intelligent inference engine for robust object recognition
-
Jan.
-
S. Lee, J. Oh, J. Park, M. Kim, and H.-J. Yoo, "A 345 mW heterogeneous many-core processor with an intelligent inference engine for robust object recognition," IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 42-51, Jan. 2011.
-
(2011)
IEEE J. Solid-State Circuits
, vol.46
, Issue.1
, pp. 42-51
-
-
Lee, S.1
Oh, J.2
Park, J.3
Kim, M.4
Yoo, H.-J.5
-
9
-
-
77958528530
-
Tera-scale performance machine learning SoC (MLSoC) with dual stream processor architecture for multimedia content analysis
-
Nov.
-
T.-W. Chen, C.-S. Tang, S.-F. Tsai, C.-H. Tsai, S.-Y. Chien, and L.-G. Chen, "Tera-scale performance machine learning SoC (MLSoC) with dual stream processor architecture for multimedia content analysis," IEEE J. Solid-State Circuits, vol. 45, no. 11, pp. 2321-2329, Nov. 2010.
-
(2010)
IEEE J. Solid-State Circuits
, vol.45
, Issue.11
, pp. 2321-2329
-
-
Chen, T.-W.1
Tang, C.-S.2
Tsai, S.-F.3
Tsai, C.-H.4
Chien, S.-Y.5
Chen, L.-G.6
-
10
-
-
0032312385
-
A bandwidth-efficient architecture for media processing
-
Nov
-
S. Rixner, W. J. Dally, U. J. Kapasi, B. Khailany, A. Lopez-Lagunas, P. Mattson, and J. D. Owens, "A bandwidth-efficient architecture for media processing," in Proc. ACM/IEEE Int. Symp. Microarchitecture, Nov. 1998, pp. 3-13.
-
(1998)
Proc. ACM/IEEE Int. Symp. Microarchitecture
, pp. 3-13
-
-
Rixner, S.1
Dally, W.J.2
Kapasi, U.J.3
Khailany, B.4
Lopez-Lagunas, A.5
Mattson, P.6
Owens, J.D.7
-
11
-
-
31344445939
-
The microarchitecture of the synergistic processor for a cell processor
-
DOI 10.1109/JSSC.2005.859332
-
B. Flachs, S. Asango, S. H. Dhong, H. P. Hofstee, G. Gervais, R. Kim, T. Le, P. Liu, J. Leenstra, J. Liberty, B. Michael, H.-J. Oh, S. M. Mueller, O. Takahashi, A. Hatakeyama, Y. Watanabe, N. Yano, D. A. Brokenshire, M. Peyravian, V. To, and E. Iwata, "The microarchitecture of the synergistic processor for a cell processor," IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 63-70, Jan. 2006. (Pubitemid 43145963)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 63-70
-
-
Flachs, B.1
Asano, S.2
Dhong, Sh.3
Hofstee, H.P.4
Gervais, G.5
Kim, R.6
Le, T.7
Liu, P.8
Leenstra, J.9
Liberty, J.10
Michael, B.11
Oh, H.-J.12
Mueller, S.M.13
Takahashi, O.14
Hatakeyama, A.15
Watanabe, Y.16
Yano, N.17
Brokenshire, D.A.18
Peyravian, M.19
To, V.20
Iwata, E.21
more..
-
12
-
-
85008053864
-
An 80-tile sub-100-WTeraFLOPS processor in 65-nm CMOS
-
Jan
-
S. R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar, "An 80-tile sub-100-WTeraFLOPS processor in 65-nm CMOS," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 29-41, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 29-41
-
-
Vangal, S.R.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Singh, A.8
Jacob, T.9
Jain, S.10
Erraguntla, V.11
Roberts, C.12
Hoskote, Y.13
Borkar, N.14
Borkar, S.15
-
13
-
-
70449504758
-
Apower, performance scalable eight-cores media processor for mobile multimedia applications
-
Nov
-
T. Mori, Y. Ueda, N. Nonogaki, T. Terazawa, M. Sroka, T. Fujita, T. Kodaka, T. Mori,K.Morita, H. Arakida, T.Miura, Y. Okuda, T.Kizu, and Y. Tsuboi, "Apower, performance scalable eight-cores media processor for mobile multimedia applications," IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 2957-2965, Nov. 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.11
, pp. 2957-2965
-
-
Mori, T.1
Ueda, Y.2
Nonogaki, N.3
Terazawa, T.4
Sroka, M.5
Fujita, T.6
Kodaka, T.7
Morik Morita, T.8
Arakida, H.9
Miura, T.10
Okuda, Y.11
Kizu, T.12
Tsuboi, Y.13
-
14
-
-
85008043136
-
Xetal-II: A low-power massively-parallel processor for video scene analysis
-
Jan
-
A. A. Abbo, R. P. Kleihorst, V. Choudhary, L. Sevat, P. Wielage, S. Mouy, B. Vermeulen, and M. Heijligers, "Xetal-II: A low-power massively-parallel processor for video scene analysis," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 192-201, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 192-201
-
-
Abbo, A.A.1
Kleihorst, R.P.2
Choudhary, V.3
Sevat, L.4
Wielage, P.5
Mouy, S.6
Vermeulen, B.7
Heijligers, M.8
-
15
-
-
84860699074
-
A 320 mW 342 GOPS real-time moving object recognition processor for HD 720p video streams
-
J. Oh, G. Kim, J. Park, I. Hong, S. Lee, and H.-J. Yoo, "A 320 mW 342 GOPS real-time moving object recognition processor for HD 720p video streams," in IEEE ISSCC 2012 Dig. Tech. Papers, 2012, pp. 220-221.
-
(2012)
IEEE ISSCC 2012 Dig. Tech. Papers
, pp. 220-221
-
-
Oh, J.1
Kim, G.2
Park, J.3
Hong, I.4
Lee, S.5
Yoo, H.-J.6
-
16
-
-
0029200683
-
Simultaneous multithreading: Maxmizing on-chip parallellism
-
June
-
D. M. Tullsen, S. J. Eggers, and H. M. Levy, "Simultaneous multithreading: Maxmizing on-chip parallellism," in Proc. IEEE Conf. Computer Architecture, June 1995, pp. 392-403.
-
(1995)
Proc. IEEE Conf. Computer Architecture
, pp. 392-403
-
-
Tullsen, D.M.1
Eggers, S.J.2
Levy, H.M.3
-
17
-
-
77954160108
-
A 118.4 GB/s multi-casting network-on-chip with hierarchical star-ring combined yopology for real-time object recognition
-
July
-
J.-Y. Kim, J. Park, S. Lee, M. Kim, J. Oh, and H.-J. Yoo, "A 118.4 GB/s multi-casting network-on-chip with hierarchical star-ring combined yopology for real-time object recognition," IEEE J. Solid-State Circuits, vol. 45, no. 7, pp. 1399-1409, July 2010.
-
(2010)
IEEE J. Solid-State Circuits
, vol.45
, Issue.7
, pp. 1399-1409
-
-
Kim, J.-Y.1
Park, J.2
Lee, S.3
Kim, M.4
Oh, J.5
Yoo, H.-J.6
-
18
-
-
0345272496
-
Energy-efficient processor design using multiplie clock domains with dynamic voltage and frequency scaling
-
Feb
-
G. Semeraro, G. Magklis, R. Balasubramonian, D. H. Albonesi, S. Dwarkadas, and M. L. Scott, "Energy-efficient processor design using multiplie clock domains with dynamic voltage and frequency scaling," in Proc. IEEE Int. Symp. High-Performance Computer Architecture, Feb. 2002, pp. 29-40.
-
(2002)
Proc. IEEE Int. Symp. High-Performance Computer Architecture
, pp. 29-40
-
-
Semeraro, G.1
Magklis, G.2
Balasubramonian, R.3
Albonesi, D.H.4
Dwarkadas, S.5
Scott, M.L.6
-
19
-
-
79952819928
-
A 92 mW 76.8 GOPS vector matching processor with parallel Huffman decoder and query re-ordering buffer for real-time object recognition
-
Nov
-
S. Lee, J. Kwon, J. Oh, J. Park, and H.-J. Yoo, "A 92 mW 76.8 GOPS vector matching processor with parallel Huffman decoder and query re-ordering buffer for real-time object recognition," in Proc. IEEE Asian Solid-State Circuits Conference, Nov. 2010, pp. 1-4.
-
(2010)
Proc. IEEE Asian Solid-State Circuits Conference
, pp. 1-4
-
-
Lee, S.1
Kwon, J.2
Oh, J.3
Park, J.4
Yoo, H.-J.5
-
20
-
-
35248884474
-
ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix
-
B. Mei, S. Vernalde, D. Verkest, H. D. Man, and R. Lauwereins, "ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix," in Proc. Int. Conf. Field-Programmable Logic Application, 2003, pp. 61-70.
-
(2003)
Proc. Int. Conf. Field-Programmable Logic Application
, pp. 61-70
-
-
Mei, B.1
Vernalde, S.2
Verkest, D.3
Man, H.D.4
Lauwereins, R.5
-
21
-
-
12744260600
-
Energy-efficiency of the MONTIUM reconfigurable tile processor
-
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'04
-
P.M. Heysters, G. J. M. Smit, and E. Molenkamp, "Energy-efficiency of MONTIUM reconfigurable tile processor," in Proc Int. Conf. Engineering of Reconfigurable Systems and Algorithm, 2004, pp. 38-44. (Pubitemid 40155482)
-
(2004)
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'04
, pp. 38-44
-
-
Heysters, P.M.1
Smit, G.J.M.2
Molenkamp, E.3
-
22
-
-
0042522917
-
PACT XPP-A self-reconfigurable data processing architecture
-
V. Baumgarte, G. Ehlers, F. May, A. Nückel, M. Vorbach, and M. Weinhardt, "PACT XPP-A self-reconfigurable data processing architecture," Int. J. Supercomputing, vol. 26, no. 2, pp. 167-184, 2003.
-
(2003)
Int. J. Supercomputing
, vol.26
, Issue.2
, pp. 167-184
-
-
Baumgarte, V.1
Ehlers, G.2
May, F.3
Nückel, A.4
Vorbach, M.5
Weinhardt, M.6
-
23
-
-
0033906636
-
Design and implementation of the MorphoSys reconfigurable computing processor
-
M.-H. Lee, H. Singh, G. Lu, N. Bagherzadeh, and F. J. Kuradahi, "Design and implementation of the MorphoSys reconfigurable computing processor," J. VLSI Signal Processing Systems, vol. 24, pp. 147-164, 2000. (Pubitemid 30588070)
-
(2000)
Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology
, vol.24
, Issue.2
, pp. 147-164
-
-
Lee, M.-H.1
Singh, H.2
Lu, G.3
Bagherzadeh, N.4
Kurdahi, F.J.5
Filho, E.M.C.6
Alves, V.C.7
-
24
-
-
80052572410
-
Dynamic resource management in modern multicore SoCs by exposing NoC services
-
June
-
A. Motakis, G. Kornaros, and M. Coppola, "Dynamic resource management in modern multicore SoCs by exposing NoC services," in Proc. IEEE Int. Workshop on Reconfigurable Communication-Centric Systems-on-Chip, June 2011, pp. 1-7.
-
(2011)
Proc. IEEE Int. Workshop on Reconfigurable Communication-Centric Systems-on-Chip
, pp. 1-7
-
-
Motakis, A.1
Kornaros, G.2
Coppola, M.3
-
25
-
-
57749178620
-
System level analysis of fast, per-core DVFS using on-chip switching regulators
-
W. Kim et al., "System level analysis of fast, per-core DVFS using on-chip switching regulators," in Proc. IEEE Int. Symp. High Performance Computer Architecture, 2008, pp. 123-134.
-
(2008)
Proc. IEEE Int. Symp. High Performance Computer Architecture
, pp. 123-134
-
-
Kim, W.1
-
26
-
-
52649148744
-
Self-optimizing memory controllers: A reinforcement learning approach
-
June
-
E. Ipek, O. Mutlu, J. F. Martinez, and R. Caruana, "Self-optimizing memory controllers: A reinforcement learning approach," in IEEE Int. Symp. Computer Architecture, June 2008, pp. 39-50.
-
(2008)
IEEE Int. Symp. Computer Architecture
, pp. 39-50
-
-
Ipek, E.1
Mutlu, O.2
Martinez, J.F.3
Caruana, R.4
-
27
-
-
27344456043
-
Æthereal network on chip: Concepts, architectures, and implementations
-
DOI 10.1109/MDT.2005.99
-
K. Goossens, J. Dielissen, and A. Radulescu, "Æthereal network on chip: Concepts, architecture, and implementations," IEEE Design & Test of Computers, pp. 414-421, Sept.-Oct. 2005. (Pubitemid 41522729)
-
(2005)
IEEE Design and Test of Computers
, vol.22
, Issue.5
, pp. 414-421
-
-
Goossens, K.1
Dielissen, J.2
Radulescu, A.3
-
28
-
-
49549115553
-
A 512 GOPS fully-programmable digital image processor with full HD 1080p processing capabilities
-
S. Arakawa, Y. Yamaguchi, S. Akui, Y. Fukuda, H. Sumi, H. Hayashi, M. Igarashi, K. Ito, H. Nagano, M. Imai, and N. Asari, "A 512 GOPS fully-programmable digital image processor with full HD 1080p processing capabilities," in IEEE ISSCC Dig. Tech. Papers, 2008, pp. 312-313.
-
(2008)
IEEE ISSCC Dig. Tech. Papers
, pp. 312-313
-
-
Arakawa, S.1
Yamaguchi, Y.2
Akui, S.3
Fukuda, Y.4
Sumi, H.5
Hayashi, H.6
Igarashi, M.7
Ito, K.8
Nagano, H.9
Imai, M.10
Asari, N.11
-
29
-
-
77952179525
-
A scalable massively parallel processor for real-time image processing
-
T. Kurafuji, M. Haraguchi, M. Nakajima, T. Gyoten, T. Nishijima, H. Yamasaki, Y. Imai, M. Ishizaki, T. Kumaki, Y. Okuno, T. Koide, H. J. Mattausch, and K. Arimoto, "A scalable massively parallel processor for real-time image processing," in IEEE ISSCC Dig. Tech. Papers, 2010, pp. 334-335.
-
(2010)
IEEE ISSCC Dig. Tech. Papers
, pp. 334-335
-
-
Kurafuji, T.1
Haraguchi, M.2
Nakajima, M.3
Gyoten, T.4
Nishijima, T.5
Yamasaki, H.6
Imai, Y.7
Ishizaki, M.8
Kumaki, T.9
Okuno, Y.10
Koide, T.11
Mattausch, H.J.12
Arimoto, K.13
-
30
-
-
73249114232
-
A 201.4 GOPS 496 mW real-time multi-object recognition processor with bioinspired neural perception engine
-
Jan.
-
J.-Y. Kim, M. Kim, S. Lee, J. Oh, K. Kim, and H.-J. Yoo, "A 201.4 GOPS 496 mW real-time multi-object recognition processor with bioinspired neural perception engine," IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 32-45, Jan. 2010.
-
(2010)
IEEE J. Solid-State Circuits
, vol.45
, Issue.1
, pp. 32-45
-
-
Kim, J.-Y.1
Kim, M.2
Lee, S.3
Oh, J.4
Kim, K.5
Yoo, H.-J.6
|