-
1
-
-
36849022584
-
A 5-GHz mesh interconnect for a teraflops processor
-
DOI 10.1109/MM.2007.4378783
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar, "A 5-GHz mesh interconnect for a teraflops processor," IEEE Micro, vol. 27, no. 5, pp. 51-61, Sep.-Oct. 2007. (Pubitemid 350218387)
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
4
-
-
0036149420
-
Networks on chips: A new SoC paradigm
-
DOI 10.1109/2.976921
-
L. Benini and G. De Micheli, "Networks on chips: A new SoC paradigm," IEEE Computer, vol. 35, no. 1, pp. 70-78, Jan. 2002. (Pubitemid 34069383)
-
(2002)
Computer
, vol.35
, Issue.1
, pp. 70-78
-
-
Benini, L.1
De Micheli, G.2
-
5
-
-
49749118261
-
BARP-a dynamic routing protocol for balanced distribution of traffic in NoCs to avoid congestion
-
Mar.
-
P. Lotfi-Kamran, M. Daneshtalab, C. Lucas, and Z. Navabi, "BARP-a dynamic routing protocol for balanced distribution of traffic in NoCs to avoid congestion," in Proc. 11th ACM/IEEE DATE, Mar. 2008, pp. 1408-1413.
-
(2008)
Proc. 11th ACM/IEEE DATE
, pp. 1408-1413
-
-
Lotfi-Kamran, P.1
Daneshtalab, M.2
Lucas, C.3
Navabi, Z.4
-
6
-
-
77955098839
-
A low-latency and memory-efficient on-chip network
-
May
-
M. Daneshtalab, M. Ebrahimi, P. Liljeberg, J. Plosila, and H. Tenhunen, "A low-latency and memory-efficient on-chip network," in Proc. 4th ACM/IEEE Int. Symp. NOCS, May 2010, pp. 99-106.
-
(2010)
Proc. 4th ACM/IEEE Int. Symp. NOCS
, pp. 99-106
-
-
Daneshtalab, M.1
Ebrahimi, M.2
Liljeberg, P.3
Plosila, J.4
Tenhunen, H.5
-
9
-
-
3042580883
-
-
Version 2.2, Philips Semiconductors, Eindhoven, The Netherlands Jul.
-
Device Transaction Level (DTL) Protocol Specification. Version 2.2, Philips Semiconductors, Eindhoven, The Netherlands, Jul. 2002.
-
(2002)
Device Transaction Level (DTL) Protocol Specification
-
-
-
10
-
-
48349146464
-
NISAR: An AXI compliant on-chip NI architecture offering transaction reordering processing
-
X. Yang, Z. Qing-Li, F. Fang-Fa, Y. Ming-Yan, and L. Cheng, "NISAR: An AXI compliant on-chip NI architecture offering transaction reordering processing," in Proc. ASICON, 2007, pp. 890-893.
-
(2007)
Proc. ASICON
, pp. 890-893
-
-
Yang, X.1
Qing-Li, Z.2
Fang-Fa, F.3
Ming-Yan, Y.4
Cheng, L.5
-
11
-
-
51549105349
-
A practical approach of memory access parallelization to exploit multiple off-chip DDR memories
-
Jun.
-
W. Kwon, S. Yoo, S. Hong, B. Min, K. Choi, and S. Eo, "A practical approach of memory access parallelization to exploit multiple off-chip DDR memories," in Proc. DAC, Jun. 2008, pp. 447-452.
-
(2008)
Proc. DAC
, pp. 447-452
-
-
Kwon, W.1
Yoo, S.2
Hong, S.3
Min, B.4
Choi, K.5
Eo, S.6
-
12
-
-
11844249902
-
An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration
-
Jan.
-
A. Radulescu, J. Dielissen, S. G. Pestana, O. P. Gangwal, E. Rijpkema, P. Wielage, and K. Goossens, "An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration," IEEE Trans. Comput.-Aided Des., vol. 24, no. 1, pp. 4-17, Jan. 2005.
-
(2005)
IEEE Trans. Comput.-Aided Des.
, vol.24
, Issue.1
, pp. 4-17
-
-
Radulescu, A.1
Dielissen, J.2
Pestana, S.G.3
Gangwal, O.P.4
Rijpkema, E.5
Wielage, P.6
Goossens, K.7
-
13
-
-
0033691565
-
Memory access scheduling
-
S. Rixner, W. J. Dally, U. J. Kapasi, P. Mattson, and J. D. Owens, "Memory access scheduling," in Proc. ISCA, 2000, pp. 128-138.
-
(2000)
Proc. ISCA
, pp. 128-138
-
-
Rixner, S.1
Dally, W.J.2
Kapasi, U.J.3
Mattson, P.4
Owens, J.D.5
-
14
-
-
0034512994
-
aSOC: A scalable, single-chip communications architecture
-
J. Liang, S. Swaminathan, and R. Tessier, "aSOC: A scalable, singlechip communication architectures," in Proc. IEEE Int. Conf. PACT, Oct. 2000, pp. 37-46. (Pubitemid 32072713)
-
(2000)
Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT
, pp. 37-46
-
-
Liang Jian1
Swaminathan Sriram2
Tessier Russell3
-
15
-
-
70350615933
-
Reliability aware NoC router architecture using input channel buffer sharing
-
M. H. Neishaburi and Z. Zilic, "Reliability aware NoC router architecture using input channel buffer sharing," in Proc. GLSVLSI, 2009, pp. 511-516.
-
(2009)
Proc. GLSVLSI
, pp. 511-516
-
-
Neishaburi, M.H.1
Zilic, Z.2
-
16
-
-
51549120206
-
A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers
-
M. Lai, Z. Wang, L. Gao, H. Lu, and K. Dai, "A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers," in Proc. 46th DAC, 2008, pp. 630-633.
-
(2008)
Proc. 46th DAC
, pp. 630-633
-
-
Lai, M.1
Wang, Z.2
Gao, L.3
Lu, H.4
Dai, K.5
-
18
-
-
70350074621
-
In-network reorder buffer to improve overall NoC performance while resolving the in-order requirement problem
-
W. Kwon, S. Yoo, J. Um, and S. Jeong, "In-network reorder buffer to improve overall NoC performance while resolving the in-order requirement problem," in Proc. DATE, 2009, pp. 1058-1063.
-
(2009)
Proc. DATE
, pp. 1058-1063
-
-
Kwon, W.1
Yoo, S.2
Um, J.3
Jeong, S.4
-
20
-
-
34648830620
-
Simulation and synthesis techniques for asynchronous FIFO design
-
C. E. Cummings, "Simulation and synthesis techniques for asynchronous FIFO design," in Proc. SNUG, 2002, pp. 1-23.
-
(2002)
Proc. SNUG
, pp. 1-23
-
-
Cummings, C.E.1
-
21
-
-
34250802588
-
Designing message-dependent deadlock free networks on chips for application-specific systems on chips
-
S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, G. De Micheli, and L. Raffo, "Designing message-dependent deadlock free networks on chips for application-specific systems on chips," in Proc. VLSI-SoC, 2006, pp. 158-163.
-
(2006)
Proc. VLSI-SoC
, pp. 158-163
-
-
Murali, S.1
Meloni, P.2
Angiolini, F.3
Atienza, D.4
Carta, S.5
Benini, L.6
De Micheli, G.7
Raffo, L.8
-
22
-
-
0034226899
-
The odd-even turn model for adaptive routing
-
Jul.
-
G. Chiu, "The odd-even turn model for adaptive routing," IEEE Trans. Parallel Distribut. Syst., vol. 11, no. 7, pp. 729-738, Jul. 2000.
-
(2000)
IEEE Trans. Parallel Distribut. Syst.
, vol.11
, Issue.7
, pp. 729-738
-
-
Chiu, G.1
-
24
-
-
49949110568
-
A generic network interface architecture for a networked processor array (NePA)
-
S. E. Lee, J. H. Bahn, Y. S. Yang, and N. Bagherzadeh, "A generic network interface architecture for a networked processor array (NePA)," in Proc. ARCS, 2008, pp. 247-260.
-
(2008)
Proc. ARCS
, pp. 247-260
-
-
Lee, S.E.1
Bahn, J.H.2
Yang, Y.S.3
Bagherzadeh, N.4
-
25
-
-
70350738624
-
An SDRAM-aware router for networks-onchip
-
W. Jang and D. Z. Pan, "An SDRAM-aware router for networks-onchip," in Proc. DAC, 2009, pp. 800-805.
-
(2009)
Proc. DAC
, pp. 800-805
-
-
Jang, W.1
Pan, D.Z.2
-
26
-
-
70450080068
-
Core-aware memory access scheduling schemes
-
May
-
Z. Fang, X. H. Sun, Y. Chen, and S. Byna, "Core-aware memory access scheduling schemes," in Proc. IEEE IPDPS, May 2009, pp. 1-12.
-
(2009)
Proc. IEEE IPDPS
, pp. 1-12
-
-
Fang, Z.1
Sun, X.H.2
Chen, Y.3
Byna, S.4
-
27
-
-
78650153799
-
-
Micron Technology, Inc., Boise ID
-
Micron 512Mb: x4, x8, x16 DDR2 SDRAM Datasheet, Micron Technology, Inc., Boise, ID, 2006.
-
(2006)
Micron 512Mb: x4, x8, x16 DDR2 SDRAM Datasheet
-
-
-
28
-
-
84255213050
-
Method and apparatus for out of order memory scheduling
-
Santa Clara, CA, U.S. Patent 7127574 Oct.
-
H. G. Rotithor, R. B. Osborne, and N. Aboulenein, "Method and apparatus for out of order memory scheduling," Intel Corporation, Santa Clara, CA, U.S. Patent 7127574, Oct. 2006.
-
(2006)
Intel Corporation
-
-
Rotithor, H.G.1
Osborne, R.B.2
Aboulenein, N.3
-
29
-
-
4444324957
-
DyAD-smart routing for networks-on-chip
-
J. Hu and R. Marculescu, "DyAD-smart routing for networks-on-chip," in Proc. DAC, 2004, pp. 260-263.
-
(2004)
Proc. DAC
, pp. 260-263
-
-
Hu, J.1
Marculescu, R.2
-
31
-
-
33748595257
-
Improving routing efficiency for network-on-chip through contention-aware input selection
-
1594642, Proceedings of the ASP-DAC 2006: Asia and South Pacific Design Automation Conference 2006
-
D. Wu, B. M. Al-Hashimi, and M. T. Schmitz, "Improving routing efficiency for network-on-chip through contention-aware input selection," in Proc. 11th ASP-DAC, 2006, pp. 36-41. (Pubitemid 44375887)
-
(2006)
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
, vol.2006
, pp. 36-41
-
-
Wu, D.1
Al-Hashimi, B.M.2
Schmitz, M.T.3
-
32
-
-
34547692955
-
A burst scheduling access reordering mechanism
-
DOI 10.1109/HPCA.2007.346206, 4147669, 2007 IEEE 13th Annual International Symposium on High Performance Computer Architecture, HPCA-13
-
J. Shao and B. T. Davis, "A burst scheduling access reordering mechanism," in Proc. 13th Int. Symp. High-Performance Comput. Architect., Feb. 2007, pp. 285-294. (Pubitemid 47208173)
-
(2007)
Proceedings - International Symposium on High-Performance Computer Architecture
, pp. 285-294
-
-
Shao, J.1
Davis, B.T.2
-
34
-
-
37049001810
-
Memory scheduling for modern microprocessors
-
Dec.
-
I. Hur and C. Lin, "Memory scheduling for modern microprocessors," ACM Trans. Comput. Syst., vol. 25, no. 4, pp. 1-36, Dec. 2007.
-
(2007)
ACM Trans. Comput. Syst.
, vol.25
, Issue.4
, pp. 1-36
-
-
Hur, I.1
Lin, C.2
-
35
-
-
52649125840
-
3D-stacked memory architectures for multi-core processors
-
G. H. Loh, "3D-stacked memory architectures for multi-core processors," in Proc. ISCA, 2008, pp. 453-464.
-
(2008)
Proc. ISCA
, pp. 453-464
-
-
Loh, G.H.1
-
36
-
-
56349152231
-
PicoServer: Using 3D stacking technology to build energy efficient servers
-
Oct.
-
T. Kgil, A. G. Saidi, N. L. Binkert, S. K. Reinhardt, K. Flautner, and T. N. Mudge, "PicoServer: Using 3D stacking technology to build energy efficient servers," ACM J. Emerg. Technol. Comput. Syst., vol. 4, no. 4, pp. 1-34, Oct. 2008.
-
(2008)
ACM J. Emerg. Technol. Comput. Syst.
, vol.4
, Issue.4
, pp. 1-34
-
-
Kgil, T.1
Saidi, A.G.2
Binkert, N.L.3
Reinhardt, S.K.4
Flautner, K.5
Mudge, T.N.6
-
37
-
-
34547204691
-
A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy
-
DOI 10.1145/1146909.1147160, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
G. L. Loi, B. Agarwal, N. Srivastava, S.-C. Lin, and T. Sherwood, "A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy," in Proc. 43rd Des. Automat. Conf., 2006, pp. 991-996. (Pubitemid 47114040)
-
(2006)
Proceedings - Design Automation Conference
, pp. 991-996
-
-
Loi, G.L.1
Agrawal, B.2
Srivastava, N.3
Lin, S.-C.4
Sherwood, T.5
Banerjee, K.6
-
38
-
-
84855522935
-
-
Goteborg Sweden [Online]
-
Gaisler IP Cores, Aeroflex Gaisler, Goteborg, Sweden, 2009 [Online]. Available: http://www.gaisler.com/products/grlib
-
(2009)
Gaisler IP Cores, Aeroflex Gaisler
-
-
-
39
-
-
64949130713
-
Design and evaluation of a hierarchical on-chip interconnect for nextgeneration CMPs
-
R. Das, S. Eachempati, A. K. Mishra, V. Narayanan, and C. R. Das, "Design and evaluation of a hierarchical on-chip interconnect for nextgeneration CMPs," in Proc. 15th HPCA, 2009, pp. 175-186.
-
(2009)
Proc. 15th HPCA
, pp. 175-186
-
-
Das, R.1
Eachempati, S.2
Mishra, A.K.3
Narayanan, V.4
Das, C.R.5
-
40
-
-
78650275938
-
A generic adaptive path-based routing method for MPSoCs
-
M. Daneshtalab, M. Ebrahimi, T. C. Xu, P. Liljeberg, and H. Tenhunen, "A generic adaptive path-based routing method for MPSoCs," J. Syst. Architect., vol. 57, no. 1, pp. 109-120, 2011.
-
(2011)
J. Syst. Architect.
, vol.57
, Issue.1
, pp. 109-120
-
-
Daneshtalab, M.1
Ebrahimi, M.2
Xu, T.C.3
Liljeberg, P.4
Tenhunen, H.5
-
41
-
-
24144461667
-
Performance evaluation and design trade-offs for network-on-chip interconnect architectures
-
DOI 10.1109/TC.2005.134
-
P. P. Pande, C. Grecu, M. Jones, A. Ivanov, and R. Saleh, "Performance evaluation and design trade-offs for network on chip interconnect architectures," IEEE Trans. Comput., vol. 54, no. 8, pp. 1025-1040, Aug. 2005. (Pubitemid 41235938)
-
(2005)
IEEE Transactions on Computers
, vol.54
, Issue.8
, pp. 1025-1040
-
-
Pande, P.P.1
Grecu, C.2
Jones, M.3
Ivanov, A.4
Saleh, R.5
|