-
2
-
-
0034848112
-
Route packets, not wires: On-chip interconnection networks
-
W.J. Dally, and B. Towles Route packets, not wires: on-chip inteconnection networks DAC '01: Proc. of the 38th annual Design Automation Conference 2001 ACM New York, NY, USA 684 689 (Pubitemid 32841038)
-
(2001)
Proceedings - Design Automation Conference
, pp. 684-689
-
-
Dally, W.J.1
Towles, B.2
-
3
-
-
34548254878
-
On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches
-
H.G. Lee, N. Chang, U.Y. Ogras, and R. Marculescu On-chip communication architecture exploration: a quantitative evaluation of point-to-point, bus, and network-on-chip approaches ACM Trans. Des. Autom. Electron. Syst. 12 2007 1 20
-
(2007)
ACM Trans. Des. Autom. Electron. Syst.
, vol.12
, pp. 1-20
-
-
Lee, H.G.1
Chang, N.2
Ogras, U.Y.3
Marculescu, R.4
-
4
-
-
33745800231
-
A survey of research and practices of network-on-chip
-
T. Bjerregaard, and S. Mahadevan A survey of research and practices of network-on-chip ACM Comput. Surv. 38 2006 1
-
(2006)
ACM Comput. Surv.
, vol.38
, pp. 1
-
-
Bjerregaard, T.1
Mahadevan, S.2
-
6
-
-
50049088665
-
Minimising dynamic power consumption in on-chip networks
-
R. Mullins, Minimising dynamic power consumption in on-chip networks, in: International Symposium on System-on-Chip, 2006, pp. 1-4.
-
(2006)
International Symposium on System-on-Chip
, pp. 1-4
-
-
Mullins, R.1
-
7
-
-
33751400283
-
NoCEE: Energy macro-model extraction methodology for network on chip routers
-
DOI 10.1109/ICCAD.2005.1560073, 1560073, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design
-
J. Chan, and S. Parameswaran Nocee: energy macro-model extraction methodology for network on chip routers ICCAD '05: Proceedings of the 2005 IEEE/ACM International Conference on Computer-aided Design 2005 IEEE Computer Society Washington, DC, USA 254 259 (Pubitemid 44815724)
-
(2005)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD
, vol.2005
, pp. 254-259
-
-
Chan, J.1
Parameswaran, S.2
-
12
-
-
44149116785
-
Exploring high-dimensional topologies for NoC design through an integrated analysis and synthesis framework
-
DOI 10.1109/NOCS.2008.4492730, 4492730, Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008
-
F. Gilabert, S. Medardoni, D. Bertozzi, L. Benini, M.E. Gomez, P. Lopez, and J. Duato Exploring high-dimensional topologies for NoC design through an integrated analysis and synthesis framework NOCS '08: Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip 2008 IEEE Computer Society Washington, DC, USA 107 116 (Pubitemid 351715035)
-
(2008)
Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008
, pp. 107-116
-
-
Gilabert, F.1
Medardoni, S.2
Bertozzi, D.3
Benini, L.4
Gomez, M.E.5
Lopez, P.6
Duato, J.7
-
14
-
-
33750090483
-
Mapping embedded systems onto NoCs: The traffic effect on dynamic energy estimation
-
ACM New York, NY, USA
-
J.C.S. Palma, C.A.M. Marcon, F.G. Moraes, N.L.V. Calazans, R.A.L. Reis, and A.A. Susin Mapping embedded systems onto NoCs: the traffic effect on dynamic energy estimation SBCCI '05: Proceedings of the 18th Annual Symposium on Integrated Circuits and System Design 2005 ACM New York, NY, USA 196 201
-
(2005)
SBCCI '05: Proceedings of the 18th Annual Symposium on Integrated Circuits and System Design
, pp. 196-201
-
-
Palma, J.C.S.1
Marcon, C.A.M.2
Moraes, F.G.3
Calazans, N.L.V.4
Reis, R.A.L.5
Susin, A.A.6
-
15
-
-
26444443665
-
Exploring NoC mapping strategies: An energy and timing aware technique
-
IEEE Computer Society Washington, DC, USA
-
C. Marcon, N. Calazans, F. Moraes, A. Susin, I. Reis, and F. Hessel Exploring NoC mapping strategies: an energy and timing aware technique DATE '05: Proceedings of the conference on Design, Automation and Test in Europe 2005 IEEE Computer Society Washington, DC, USA 502 507
-
(2005)
DATE '05: Proceedings of the Conference on Design, Automation and Test in Europe
, pp. 502-507
-
-
Marcon, C.1
Calazans, N.2
Moraes, F.3
Susin, A.4
Reis, I.5
Hessel, F.6
-
16
-
-
33746930901
-
'It's a small world after all': NoC performance optimization via long-range link insertion
-
DOI 10.1109/TVLSI.2006.878263, 1661619
-
U.Y. Ogras, and R. Marculescu Its a small world after all': NoC performance optimization via long-range link insertion IEEE Trans. VLSI 14 2006 693 706 (Special Section on Hardware/Software Codesign and System Synthesis) (Pubitemid 44192223)
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.7
, pp. 693-706
-
-
Ogras, U.Y.1
Marculescu, R.2
-
17
-
-
44149086425
-
ReNoC: A network-on-chip architecture with reconfigurable topology
-
DOI 10.1109/NOCS.2008.4492725, 4492725, Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008
-
M.B. Stensgaard, and J. Sparsø Renoc: a network-on-chip architecture with reconfigurable topology NOCS '08: Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip 2008 IEEE Computer Society Washington, DC, USA 55 64 (Pubitemid 351715030)
-
(2008)
Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008
, pp. 55-64
-
-
Stensgaard, M.B.1
Sparso, J.2
-
19
-
-
33847091245
-
Segment-based routing: An efficient fault-tolerant routing algorithm for meshes and tori
-
A. Mejia, J. Flich, J. Duato, S.-A. Reinemo, T. Skeie, Segment-based routing: an efficient fault-tolerant routing algorithm for meshes and tori, in: 20th International Parallel and Distributed Processing Symposium, 2006, p. 10.
-
(2006)
20th International Parallel and Distributed Processing Symposium
, pp. 10
-
-
Mejia, A.1
Flich, J.2
Duato, J.3
Reinemo, S.-A.4
Skeie, T.5
-
20
-
-
0032274426
-
Fault-tolerant routing algorithm for meshes eithout using virtual channels
-
K.-H. Chen, and G.-M. Chiu Fault-tolerant routing algorithm for meshes without using virtual channels J. Inform. Sci. Eng. 14 1998 765 783 (Pubitemid 128614921)
-
(1998)
Journal of Information Science and Engineering
, vol.14
, Issue.4
, pp. 765-783
-
-
Chen, K.-H.1
Chiu, G.-M.2
-
21
-
-
36349002966
-
Corrections to Chen and Chiu's fault tolerant routing algorithm for mesh networks
-
R. Holsmark, and S. Kumar Corrections to Chen and Chiu's fault routing algorithm for mesh networks J. Inform. Sci. Eng. 23 2007 1649 1662 (Pubitemid 350150381)
-
(2007)
Journal of Information Science and Engineering
, vol.23
, Issue.6
, pp. 1649-1662
-
-
Holsmark, R.1
Kumar, S.2
-
22
-
-
35348858651
-
Express virtual channels: Towards the ideal interconnection fabric
-
DOI 10.1145/1250662.1250681, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings
-
A. Kumar, L.-S. Peh, P. Kundu, and N.K. Jha Express virtual channels: towards the ideal interconnection fabric SIGARCH Comput. Archit. News 35 2007 150 161 (Pubitemid 47582099)
-
(2007)
Proceedings - International Symposium on Computer Architecture
, pp. 150-161
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
23
-
-
57849125522
-
Noc with near-ideal express virtual channels using global-line communication
-
IEEE Computer Society Washington, DC, USA
-
T. Krishna, A. Kumar, P. Chiang, M. Erez, and L.-S. Peh Noc with near-ideal express virtual channels using global-line communication HOTI '08: Proceedings of the 2008 16th IEEE Symposium on High Performance Interconnects 2008 IEEE Computer Society Washington, DC, USA 11 20
-
(2008)
HOTI '08: Proceedings of the 2008 16th IEEE Symposium on High Performance Interconnects
, pp. 11-20
-
-
Krishna, T.1
Kumar, A.2
Chiang, P.3
Erez, M.4
Peh, L.-S.5
-
25
-
-
42949161094
-
Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions
-
DOI 10.1016/j.sysarc.2007.07.005, PII S1383762107001142
-
R. Holsmark, M. Palesi, and S. Kumar Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions J. Syst. Architect. 54 2008 427 440 (System and Network on Chip) (Pubitemid 351615324)
-
(2008)
Journal of Systems Architecture
, vol.54
, Issue.3-4
, pp. 427-440
-
-
Holsmark, R.1
Palesi, M.2
Kumar, S.3
-
26
-
-
44049092090
-
An efficient and deadlock-free network reconfiguration protocol
-
DOI 10.1109/TC.2008.31
-
O. Lysne, J. Montanana, J. Flich, J. Duato, T. Pinkston, and T. Skeie An efficient and deadlock-free network reconfiguration protocol IEEE Trans. Comput. 57 2008 762 779 (Pubitemid 351712159)
-
(2008)
IEEE Transactions on Computers
, vol.57
, Issue.6
, pp. 762-779
-
-
Lysne, O.1
Skeie, T.2
Flich, J.3
Montanana, J.M.4
Duato, J.5
Pinkston, T.M.6
-
29
-
-
0036326262
-
Performance tuning of adaptive wormhole routing through selection function choice
-
L. Schwiebert, and R. Bell Performance tuning of adaptive wormhole routing through selection function choice J. Parallel Distrib. Comput. 62 2002 1121 1141
-
(2002)
J. Parallel Distrib. Comput.
, vol.62
, pp. 1121-1141
-
-
Schwiebert, L.1
Bell, R.2
-
31
-
-
0023346637
-
Deadlock-free message routing in multiprocessor interconnection networks
-
W.J. Dally, and C.L. Seitz Deadlock-free message routing in multiprocessor interconnection networks IEEE Trans. Comput. 36 1987 547 553
-
(1987)
IEEE Trans. Comput.
, vol.36
, pp. 547-553
-
-
Dally, W.J.1
Seitz, C.L.2
-
32
-
-
0035440469
-
Deadlock-free oblivious wormhole routing with cyclic dependencies
-
DOI 10.1109/12.954503
-
L. Schwiebert Deadlock-free oblivious wormhole routing with cyclic dependencies IEEE Trans. Comput. 50 2001 865 876 (Pubitemid 32981665)
-
(2001)
IEEE Transactions on Computers
, vol.50
, Issue.9
, pp. 865-876
-
-
Schwiebert, L.1
-
34
-
-
0029390484
-
A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks
-
J. Duato A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks IEEE Trans. Parallel Distrib. Syst. 6 1995 1055 1067
-
(1995)
IEEE Trans. Parallel Distrib. Syst.
, vol.6
, pp. 1055-1067
-
-
Duato, J.1
|