-
2
-
-
0025433355
-
Virtual-channel flow control
-
May
-
W. J. Dally. Virtual-channel flow control. In ISCA, May 1990.
-
(1990)
ISCA
-
-
Dally, W.J.1
-
3
-
-
36348975404
-
Implementation and evaluation of on-chip network architectures
-
Oct
-
P. Gratz et al. Implementation and evaluation of on-chip network architectures. In ICCD, Oct. 2006.
-
(2006)
ICCD
-
-
Gratz, P.1
-
4
-
-
25844457604
-
Routers with a single stage of buffering
-
September
-
S. Iyer, R. Zhang, and N. McKeown. Routers with a single stage of buffering. In ACM SIGCOMM, September 2002.
-
(2002)
ACM SIGCOMM
-
-
Iyer, S.1
Zhang, R.2
McKeown, N.3
-
5
-
-
33845899086
-
A gracefully degrading and energy-efficient modular router architecture for on-chip networks
-
Jongman Kim, C. Nicopoulos, and Dongkook Park. A gracefully degrading and energy-efficient modular router architecture for on-chip networks. ISCA, pages 4-15, 2006.
-
(2006)
ISCA
, pp. 4-15
-
-
Jongman Kim, C.N.1
Park, D.2
-
6
-
-
35348858651
-
Express virtual channels: Towards the ideal interconnection fabric
-
June
-
A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jha. Express virtual channels: Towards the ideal interconnection fabric. In ISCA, June 2007.
-
(2007)
ISCA
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
7
-
-
52949114554
-
A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS
-
Oct
-
A. Kumar et al. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. In ICCD, Oct. 2007.
-
(2007)
ICCD
-
-
Kumar, A.1
-
8
-
-
0036167929
-
The Alpha 21364 network architecture
-
Jan./Feb
-
S. S. Mukherjee et al. The Alpha 21364 network architecture. IEEE Micro, 22(1):26-35, Jan./Feb. 2002.
-
(2002)
IEEE Micro
, vol.22
, Issue.1
, pp. 26-35
-
-
Mukherjee, S.S.1
-
9
-
-
4644301652
-
-
Low-latency virtual-channel routers for on-chip networks, June
-
R. Mullins et al. Low-latency virtual-channel routers for on-chip networks. In ISCA, June 2004.
-
(2004)
ISCA
-
-
Mullins, R.1
-
10
-
-
40349107206
-
ViChaR: A dynamic virtual channel regulator for network-on-chip routers
-
Dec
-
C. A. Nicopoulos et al. ViChaR: A dynamic virtual channel regulator for network-on-chip routers. In MICRO, Dec. 2006.
-
(2006)
MICRO
-
-
Nicopoulos, C.A.1
-
11
-
-
0034818435
-
A delay model and speculative architecture for pipelined routers
-
Jan
-
Li-Shiuan Peh and William J. Dally. A delay model and speculative architecture for pipelined routers. In HPCA, Jan. 2001.
-
(2001)
HPCA
-
-
Peh, L.-S.1
Dally, W.J.2
-
12
-
-
0034581364
-
Flit-reservation flow control
-
Li-Shiuan Peh and W.J. Dally. Flit-reservation flow control. HPCA, pages 73-84, 2000.
-
(2000)
HPCA
, pp. 73-84
-
-
Peh, L.-S.1
Dally, W.J.2
-
13
-
-
8344226821
-
Randomized parallel schedulers for switch-memory-switch routers: Analysis and numerical studies
-
March
-
A. Prakash, A. Aziz, and V. Ramachandran. Randomized parallel schedulers for switch-memory-switch routers: Analysis and numerical studies. In IEEE INFOCOM, March 2004.
-
(2004)
IEEE INFOCOM
-
-
Prakash, A.1
Aziz, A.2
Ramachandran, V.3
-
14
-
-
34548858682
-
An 80-tile 1.28TFLOPS network-on-chip in 65nm CMOS
-
Feb
-
S. Vangal et al. An 80-tile 1.28TFLOPS network-on-chip in 65nm CMOS. In ISSCC, Feb. 2007.
-
(2007)
ISSCC
-
-
Vangal, S.1
-
15
-
-
84948976085
-
Orion: A power-performance simulator for interconnection networks
-
Nov
-
H.-S. Wang et al. Orion: A power-performance simulator for interconnection networks. In MICRO, Nov. 2002.
-
(2002)
MICRO
-
-
Wang, H.-S.1
-
16
-
-
84862144932
-
Power-driven design of router microarchitectures in on-chip networks
-
Nov
-
H.-S. Wang et al. Power-driven design of router microarchitectures in on-chip networks. In MICRO, Nov. 2003.
-
(2003)
MICRO
-
-
Wang, H.-S.1
|