-
2
-
-
21644479869
-
Highly manufacturable high density phase change memory of 64Mb and beyond
-
S. J. Ahn, Y. J. Song, C. W. Jeong, J. M. Shin, Y. Fai, Y. N. Hwang, S. H. Lee, K. C. Ryoo, S. T. Lee, J. H. Park, H. Horii, Y. H. Ha, J. H. Yi, B. J. Kuh, G. H. Koh, G. T. Jeong, H. S. Jeong, K. Kim, and B. I. Ryu. Highly manufacturable high density phase change memory of 64Mb and beyond. In International Electron Devices Meeting, 2004.
-
(2004)
International Electron Devices Meeting
-
-
Ahn, S.J.1
Song, Y.J.2
Jeong, C.W.3
Shin, J.M.4
Fai, Y.5
Hwang, Y.N.6
Lee, S.H.7
Ryoo, K.C.8
Lee, S.T.9
Park, J.H.10
Horii, H.11
Ha, Y.H.12
Yi, J.H.13
Kuh, B.J.14
Koh, G.H.15
Jeong, G.T.16
Jeong, H.S.17
Kim, K.18
Ryu, B.I.19
-
3
-
-
33749422806
-
Current status of chalcogenide phase change memory
-
G. Atwood and R. Bez. Current status of chalcogenide phase change memory. In Device Research Conference, 2005.
-
(2005)
Device Research Conference
-
-
Atwood, G.1
Bez, R.2
-
4
-
-
49549091783
-
A multi-level-cell bipolar-selected phase-change memory
-
F. Bedeschi, R. Fackenthal, C. Resta, E. M. Donze, M. Jagasivamani, E. C. Buda, F. Pellizzer, D. W. Chow, A. Cabrini, G. Calvi, R. Faravelli, A. Fantini, G. Torelli, D. Mills, R. Gastaldi, and G. Casagrande. A multi-level-cell bipolar-selected phase-change memory. In International Solid-State Circuits Conference, 2008.
-
(2008)
International Solid-State Circuits Conference
-
-
Bedeschi, F.1
Fackenthal, R.2
Resta, C.3
Donze, E.M.4
Jagasivamani, M.5
Buda, E.C.6
Pellizzer, F.7
Chow, D.W.8
Cabrini, A.9
Calvi, G.10
Faravelli, R.11
Fantini, A.12
Torelli, G.13
Mills, D.14
Gastaldi, R.15
Casagrande, G.16
-
6
-
-
0041633858
-
Parameter variation and impact on circuits and microarchitecture
-
June
-
S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De. Parameter variation and impact on circuits and microarchitecture. In Proceedings of 40th Design Automation Conference, June 2003.
-
(2003)
Proceedings of 40th Design Automation Conference
-
-
Borkar, S.1
Karnik, T.2
Narendra, S.3
Tschanz, J.4
Keshavarzi, A.5
De., V.6
-
8
-
-
55449115308
-
Storage-class memory: The next storage system technology
-
R. Freitas and W. Wickle. Storage-class memory: The next storage system technology. IBM Journal of Research and Development, 52(4/5):439-447, 2008.
-
(2008)
IBM Journal of Research and Development
, vol.52
, Issue.4-5
, pp. 439-447
-
-
Freitas, R.1
Wickle, W.2
-
9
-
-
84943817322
-
Error detecting and error correcting codes
-
April
-
R. Hamming. Error detecting and error correcting codes. Bell System Technical Journal, 29(2), April 1950.
-
(1950)
Bell System Technical Journal
, vol.29
, pp. 2
-
-
Hamming, R.1
-
10
-
-
0141830841
-
A novel cell technology using N-doped GeSbTe films for phase change RAM
-
H. Horii, J. H. Yi, J. H. Park, Y. H. Ha, I. H. Baek, S. O. Park, Y. N. Hwang, S. H. Lee, Y. T. Kim, K. H. Lee, U.-I. Chung, and J. T. Moon. A novel cell technology using N-doped GeSbTe films for phase change RAM. In Symposium on VLSI Technology, 2003.
-
(2003)
Symposium on VLSI Technology
-
-
Horii, H.1
Yi, J.H.2
Park, J.H.3
Ha, Y.H.4
Baek, I.H.5
Park, S.O.6
Hwang, Y.N.7
Lee, S.H.8
Kim, Y.T.9
Lee, K.H.10
Chung, U.-I.11
Moon, J.T.12
-
11
-
-
77952268480
-
Dynamically replicated memory: Building resilient systems from unreliable nanoscale memories
-
Mar.
-
E. Ipek, J. Condit, E. Nightingale, D. Burger, and T. Moscibroda. Dynamically replicated memory: Building resilient systems from unreliable nanoscale memories. In To appear at The Fifteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2010), Mar. 2010.
-
(2010)
To Appear at the Fifteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2010)
-
-
Ipek, E.1
Condit, J.2
Nightingale, E.3
Burger, D.4
Moscibroda, T.5
-
12
-
-
33847707730
-
Technology for sub-50nm DRAM and NAND flash manufacturing
-
K. Kim. Technology for sub-50nm DRAM and NAND flash manufacturing. In International Electron Devices Meeting, 2005.
-
(2005)
International Electron Devices Meeting
-
-
Kim, K.1
-
14
-
-
85008054314
-
A 90nm 1.8V 512Mb diode-switch PRAM with 266 MB/s read throughput
-
January
-
K.-J. Lee, B.-H. Cho, W.-Y. Cho, S. Kang, B.-G. Choi, H.-R. Oh, C.-S. Lee, H.-J. Kim, J.-M. Park, Q. Wang, M.-H. Park, Y.-H. Ro, J.-Y. Choi, K.-S. Kim, Y.-R. Kim, I.-C. Shin, K.-W. Lim, H.-K. Cho, C.-H. Choi, W.-R. Chung, D.-E. Kim, Y.-J. Yoon, K.-S. Yi, G.-T. Jeong, H.-S. Jeong, C.-K. Kwak, C.-H. Kim, and K. Kim. A 90nm 1.8V 512Mb diode-switch PRAM with 266 MB/s read throughput. Journal of Solid-State Circuits, 43(1), January 2008.
-
(2008)
Journal of Solid-State Circuits
, vol.43
, pp. 1
-
-
Lee, K.-J.1
Cho, B.-H.2
Cho, W.-Y.3
Kang, S.4
Choi, B.-G.5
Oh, H.-R.6
Lee, C.-S.7
Kim, H.-J.8
Park, J.-M.9
Wang, Q.10
Park, M.-H.11
Ro, Y.-H.12
Choi, J.-Y.13
Kim, K.-S.14
Kim, Y.-R.15
Shin, I.-C.16
Lim, K.-W.17
Cho, H.-K.18
Choi, C.-H.19
Chung, W.-R.20
Kim, D.-E.21
Yoon, Y.-J.22
Yi, K.-S.23
Jeong, G.-T.24
Jeong, H.-S.25
Kwak, C.-K.26
Kim, C.-H.27
Kim, K.28
more..
-
15
-
-
0018331014
-
Alpha-particle-induced soft errors in dynamic memories
-
T. May and W. Woods. Alpha-particle-induced soft errors in dynamic memories. IEEE Transactions on Electronic Devices, 26(2):2-9, 1979.
-
(1979)
IEEE Transactions on Electronic Devices
, vol.26
, Issue.2
, pp. 2-9
-
-
May, T.1
Woods, W.2
-
16
-
-
20344403770
-
Montecito: A dual-core, dual-thread itanium processor
-
March/April
-
C. McNairy and R. Bhatia. Montecito: A dual-core, dual-thread itanium processor. IEEE Micro Magazine, 25(2):10-20, March/April 2005.
-
(2005)
IEEE Micro Magazine
, vol.25
, Issue.2
, pp. 10-20
-
-
McNairy, C.1
Bhatia, R.2
-
17
-
-
28444483117
-
The soft error problem: An architectural perspective
-
San Francisco, CA, USA, February
-
S. S. Mukherjee, J. Emer, and S. K. Reinhardt. The soft error problem: An architectural perspective. In Proceedings of 11th International Symposium on High-Performance Computer Architecture, pages 243-247, San Francisco, CA, USA, February 2005.
-
(2005)
Proceedings of 11th International Symposium on High-performance Computer Architecture
, pp. 243-247
-
-
Mukherjee, S.S.1
Emer, J.2
Reinhardt, S.K.3
-
18
-
-
77954983261
-
The basics of phase change memory technology
-
Numonyx. The basics of phase change memory technology. In Numonyx White Paper, 2007.
-
(2007)
Numonyx White Paper
-
-
Numony, X.1
-
19
-
-
0036575868
-
Impact of spatial intrachip gate length variability on the performance of high-speed digital circuits
-
May
-
M. Orshansky, L. Milor, P. Chen, K. Keutzer, and C. Hu. Impact of spatial intrachip gate length variability on the performance of high-speed digital circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 21(5), May 2002.
-
(2002)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.21
, pp. 5
-
-
Orshansky, M.1
Milor, L.2
Chen, P.3
Keutzer, K.4
Hu, C.5
-
20
-
-
41149134446
-
A 90nm phase change memory technology for stand-alone non-volatile memory applications
-
F. Pellizzer, A. Benvenuti, B. Gleixner, Y. Kim, B. Johnson, M. Magistretti, T. Marangon, A. Pirovano, R. Bez, and G. Atwood. A 90nm phase change memory technology for stand-alone non-volatile memory applications. In Symposium on VLSI Circuits, 2006.
-
(2006)
Symposium on VLSI Circuits
-
-
Pellizzer, F.1
Benvenuti, A.2
Gleixner, B.3
Kim, Y.4
Johnson, B.5
Magistretti, M.6
Marangon, T.7
Pirovano, A.8
Bez, R.9
Atwood, G.10
-
21
-
-
84883679883
-
Enhancing lifetime and security of phase change memories via start-gap wear leveling
-
December
-
M. K. Qureshi, M. Fraceschini, V. Srinivasan, L. Lastras, B. Abali, and J. Karidis. Enhancing lifetime and security of phase change memories via start-gap wear leveling. In International Symposium on Microarchitecture, December 2009.
-
(2009)
International Symposium on Microarchitecture
-
-
Qureshi, M.K.1
Fraceschini, M.2
Srinivasan, V.3
Lastras, L.4
Abali, B.5
Karidis, J.6
-
23
-
-
55449106208
-
Phase-change random access memory: A scalable technology
-
Jul/Sept
-
S. Raoux, G. W. Burr, M. J. Breitwisch, C. T. Rettner, Y.-C. Chen, R. M. Shelby, M. Salinga, D. Krebs, S.-H. Chen, H.-L. Lung, and C. H. Lam. Phase-change random access memory: A scalable technology. IBM Journal of Research and Development, 52(4/5), Jul/Sept 2008.
-
(2008)
IBM Journal of Research and Development
, vol.52
, Issue.4-5
-
-
Raoux, S.1
Burr, G.W.2
Breitwisch, M.J.3
Rettner, C.T.4
Chen, Y.-C.5
Shelby, R.M.6
Salinga, M.7
Krebs, D.8
Chen, S.-H.9
Lung, H.-L.10
Lam, C.H.11
-
24
-
-
77955009626
-
Samsung introduces the next generation of nonvolatile memory - Pram
-
Samsung, Sept.
-
Samsung. Samsung introduces the next generation of nonvolatile memory - pram. In Samsung News Release, Sept. 2006.
-
(2006)
Samsung News Release
-
-
-
25
-
-
52649108802
-
Trading off cache capacity for reliability to enable low voltage operation
-
June
-
C. Wilkerson, H. Gao, A. R. Alameldeen, Z. Chishti, M. Khellah, and S.-L. Lu. Trading off cache capacity for reliability to enable low voltage operation. In The 35th Annual International Symposium on Computer Architecture, June 2008.
-
(2008)
The 35th Annual International Symposium on Computer Architecture
-
-
Wilkerson, C.1
Gao, H.2
Alameldeen, A.R.3
Chishti, Z.4
Khellah, M.5
Lu, S.-L.6
-
26
-
-
76749137639
-
Characterizing and mitigating the impact of process variations on phase change memory systems
-
December
-
W. Zhang and T. Li. Characterizing and mitigating the impact of process variations on phase change memory systems. In International Symposium on Microarchitecture, December 2009.
-
(2009)
International Symposium on Microarchitecture
-
-
Zhang, W.1
Li, T.2
|