-
1
-
-
50249182387
-
Variation-aware task allocation and scheduling for MPSoC
-
F. Wang, C. Nicopoulous, X. Wu, Y. Xie, and N. Vijaykrishnan, "Variation-aware task allocation and scheduling for MPSoC," Design Automation Conference, pp. 598-603, 2007.
-
(2007)
Design Automation Conference
, pp. 598-603
-
-
Wang, F.1
Nicopoulous, C.2
Wu, X.3
Xie, Y.4
Vijaykrishnan, N.5
-
3
-
-
33846118079
-
Designing reliable systems from unreliable components : The challenges of transistor variability and degradation
-
S. Borkar, "Designing reliable systems from unreliable components : The challenges of transistor variability and degradation," IEEE Micro, Vol. 25, No. 6, pp. 10-16, 2006.
-
(2006)
IEEE Micro
, vol.25
, Issue.6
, pp. 10-16
-
-
Borkar, S.1
-
4
-
-
0027542932
-
Compile-time scheduling heuristic for interconnection constrained heterogeneous processor architectures
-
G. C. Sih and E. A. Lee, "Compile-time scheduling heuristic for interconnection constrained heterogeneous processor architectures," IEEE Transactions on Parallel and Distributed Systems, Vol. 4, No. 2, pp. 175-187, 1993.
-
(1993)
IEEE Transactions on Parallel and Distributed Systems
, vol.4
, Issue.2
, pp. 175-187
-
-
Sih, G.C.1
Lee, E.A.2
-
5
-
-
0032184116
-
MOGAC: A multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems
-
Oct
-
R. P. Dick and N. K. Jha, "MOGAC: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems," IEEE Transactions on Computer-Aided Design ofIntegrated Circuits and Systems, Vol. 17, No. 10, pp. 920-935, Oct. 1998.
-
(1998)
IEEE Transactions on Computer-Aided Design ofIntegrated Circuits and Systems
, vol.17
, Issue.10
, pp. 920-935
-
-
Dick, R.P.1
Jha, N.K.2
-
6
-
-
0031166039
-
An architectural co-synthesis algorithm for distributed computing systems
-
W. H. Wolf, "An architectural co-synthesis algorithm for distributed computing systems," IEEE Transactions on VLSI Systems, Vol. 5, No. 2, pp. 218-229, 1997.
-
(1997)
IEEE Transactions on VLSI Systems
, vol.5
, Issue.2
, pp. 218-229
-
-
Wolf, W.H.1
-
7
-
-
0036056702
-
Task scheduling and voltage selection for energy minimization
-
Y. Zhang, X. Hu, and D. Chen, "Task scheduling and voltage selection for energy minimization," Design Automation Conference, pp. 183-188, 2002.
-
(2002)
Design Automation Conference
, pp. 183-188
-
-
Zhang, Y.1
Hu, X.2
Chen, D.3
-
8
-
-
84962292024
-
Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems
-
L. Jiong and N. Jha, "Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems," Asia and South Pacific Design Automation Conference, pp. 719-726, 2002.
-
(2002)
Asia and South Pacific Design Automation Conference
, pp. 719-726
-
-
Jiong, L.1
Jha, N.2
-
9
-
-
0034477891
-
Power-conscious joint scheduling of periodic task graphs and aperiodic tasks in distributed real-time embedded systems
-
J. Luo and N. K. Jha, "Power-conscious joint scheduling of periodic task graphs and aperiodic tasks in distributed real-time embedded systems," International Conference on Computer-Aided Design, pp. 357-362, 2000.
-
(2000)
International Conference on Computer-Aided Design
, pp. 357-362
-
-
Luo, J.1
Jha, N.K.2
-
10
-
-
3042658619
-
Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints
-
J. Hu and R. Marculescu, "Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints," Design, Automation, and Test in Europe Conference pp. 234-239, 2004.
-
(2004)
Design, Automation, and Test in Europe Conference
, pp. 234-239
-
-
Hu, J.1
Marculescu, R.2
-
11
-
-
0346778721
-
Statistical timing analysis considering spatial correlations using a single pert-like traversal
-
C. Hongliang and S. S. Sapatnekar, "Statistical timing analysis considering spatial correlations using a single pert-like traversal," International Conference on Computer-Aided Design, pp. 621-625, 2003.
-
(2003)
International Conference on Computer-Aided Design
, pp. 621-625
-
-
Hongliang, C.1
Sapatnekar, S.S.2
-
12
-
-
0348040085
-
Statistical timing analysis for intra-die process variation with spatial correlations
-
A. Agarwal, D. Blaauw, and V. Zolotov, "Statistical timing analysis for intra-die process variation with spatial correlations," International Conference on Computer-Aided Design, pp. 900-907, 2003.
-
(2003)
International Conference on Computer-Aided Design
, pp. 900-907
-
-
Agarwal, A.1
Blaauw, D.2
Zolotov, V.3
-
13
-
-
34547254653
-
Non-linear statistical static timing analysis for non-Gaussian variation sources
-
L. Cheng, J. Xiong, and L. He, "Non-linear statistical static timing analysis for non-Gaussian variation sources," Design Automation Conference, pp. 250-255, 2007.
-
(2007)
Design Automation Conference
, pp. 250-255
-
-
Cheng, L.1
Xiong, J.2
He, L.3
-
14
-
-
0346778721
-
Statistical timing analysis considering spatial correlations using a single PERT-like traversal
-
H. Chang and S. S. Sapatnekar, "Statistical timing analysis considering spatial correlations using a single PERT-like traversal," International Conference on Computer-Aided Design, pp. 621-625, 2003.
-
(2003)
International Conference on Computer-Aided Design
, pp. 621-625
-
-
Chang, H.1
Sapatnekar, S.S.2
-
15
-
-
4444233012
-
First-order incremental block-based statistical timing analysis
-
C. Viswesweriah et al., "First-order incremental block-based statistical timing analysis," Design Automation Conference, pp. 331-336, 2004.
-
(2004)
Design Automation Conference
, pp. 331-336
-
-
Viswesweriah, C.1
-
16
-
-
0141852377
-
Statistical timing analysis using bounds and selective enumeration
-
September
-
A. Agarwal et al., "Statistical timing analysis using bounds and selective enumeration," IEEE Transactions on Computer-Aided Design, pp. 12431260, September 2003.
-
(2003)
IEEE Transactions on Computer-Aided Design
, pp. 12431260
-
-
Agarwal, A.1
-
18
-
-
4444323973
-
Fast statistical timing analysis handling arbitrary correlations
-
M. Orshansky and A. Banddopadhyay, "Fast statistical timing analysis handling arbitrary correlations," Design Automation Conference, pp. 337-342, 2004.
-
(2004)
Design Automation Conference
, pp. 337-342
-
-
Orshansky, M.1
Banddopadhyay, A.2
-
19
-
-
1642276264
-
Statistical analysis of subthreshold leakage current for VLSI circuits
-
February
-
R. R. Rao, "Statistical analysis of subthreshold leakage current for VLSI circuits," IEEE Transactions on VLSI Systems, pp. 131-139, February 2004.
-
(2004)
IEEE Transactions on VLSI Systems
, pp. 131-139
-
-
Rao, R.R.1
-
20
-
-
0036949325
-
Full-chip subthreshold leakage power prediction model for sub-0.18um CMOS
-
S. Narendra et al., "Full-chip subthreshold leakage power prediction model for sub-0.18um CMOS," International Symposium on Low Power Electronic Design, pp. 19-23, 2002.
-
(2002)
International Symposium on Low Power Electronic Design
, pp. 19-23
-
-
Narendra, S.1
-
21
-
-
4444333242
-
A methodology to improve timing yield in the presence of process variation
-
S. Raj, S. Vrudhula, and J. Wang, "A methodology to improve timing yield in the presence of process variation," Design Automation Conference, pp. 448-453, 2004.
-
(2004)
Design Automation Conference
, pp. 448-453
-
-
Raj, S.1
Vrudhula, S.2
Wang, J.3
-
22
-
-
4444264520
-
Novel sizing algorithm for yield improvement under process variation in nanometer technology
-
S. Choi, B. Paul, and K. Roy, "Novel sizing algorithm for yield improvement under process variation in nanometer technology" Design Automation Conference, pp. 454-459, 2004.
-
(2004)
Design Automation Conference
, pp. 454-459
-
-
Choi, S.1
Paul, B.2
Roy, K.3
-
23
-
-
4444277442
-
Statistical optimization of leakage power considering process variation using dual-Vth and sizing
-
A. Srivastava, D. Sylvester, and D. Blaauw, "Statistical optimization of leakage power considering process variation using dual-Vth and sizing," Design Automation Conference, pp. 773-778, 2004.
-
(2004)
Design Automation Conference
, pp. 773-778
-
-
Srivastava, A.1
Sylvester, D.2
Blaauw, D.3
-
24
-
-
16244380812
-
Variability inspired implementation selection problem
-
A. Davoodi, V. Khandelwal, and A. Srivastava, "Variability inspired implementation selection problem," International Conference on Computer-Aided Design, pp. 423-247, 2004
-
(2004)
International Conference on Computer-Aided Design
, pp. 423-247
-
-
Davoodi, A.1
Khandelwal, V.2
Srivastava, A.3
-
25
-
-
46149112172
-
Guaranteeing performance yield in high-level synthesis
-
W.-L. Hung, X. Wu, and Y. Xie, "Guaranteeing performance yield in high-level synthesis," International Conference on Computer-Aided Design, pp. 303-309, 2006.
-
(2006)
International Conference on Computer-Aided Design
, pp. 303-309
-
-
Hung, W.-L.1
Wu, X.2
Xie, Y.3
-
26
-
-
49549092907
-
Variability-driven module selection with joint design time optimization and post-silicon tuning
-
F. Wang, X. Wu, and Y. Xie, "Variability-driven module selection with joint design time optimization and post-silicon tuning," Asia and South Pacific Design Automation Conference, pp. 2-9, 2008.
-
(2008)
Asia and South Pacific Design Automation Conference
, pp. 2-9
-
-
Wang, F.1
Wu, X.2
Xie, Y.3
-
28
-
-
0029211481
-
Probabilistic performance guarantee for real-time tasks with varying computation times
-
T. S. Tia, Z. Deng, M. Shankar, M. Storch, J. Sun, L. C. Wu, and J. W. S. Liu, "Probabilistic performance guarantee for real-time tasks with varying computation times," Real-Time Technology and Applications Symposium, pp. 164-173, 1995.
-
(1995)
Real-Time Technology and Applications Symposium
, pp. 164-173
-
-
Tia, T.S.1
Deng, Z.2
Shankar, M.3
Storch, M.4
Sun, J.5
Wu, L.C.6
Liu, J.W.S.7
-
29
-
-
0035707632
-
Estimating probabilistic timing performance for real-time embedded systems
-
X. S. Hu, Z. Tao, and E. H. M. Sha, "Estimating probabilistic timing performance for real-time embedded systems," IEEE Transactions on VLSI Systems, Vol. 9, No. 6, pp. 833-844, 2001.
-
(2001)
IEEE Transactions on VLSI Systems
, vol.9
, Issue.6
, pp. 833-844
-
-
Hu, X.S.1
Tao, Z.2
Sha, E.H.M.3
-
30
-
-
0031628351
-
Hierarchical algorithms for assessing probabilistic constraints on system performance
-
G. D. Veciana, M. F. Jacome, and J.-H. Guo, "Hierarchical algorithms for assessing probabilistic constraints on system performance," Design Automation Conference, pp. 251-256, 1998.
-
(1998)
Design Automation Conference
, pp. 251-256
-
-
Veciana, G.D.1
Jacome, M.F.2
Guo, J.-H.3
-
31
-
-
84990479742
-
An efficient heuristic procedure for partitioning graphs
-
April
-
B. W. Kernighan and S. Lin, "An efficient heuristic procedure for partitioning graphs," Bell System Tech. Journal, April 1970.
-
(1970)
Bell System Tech. Journal
-
-
Kernighan, B.W.1
Lin, S.2
-
32
-
-
0034842175
-
Fast statistical timing analysis by probabilistic event propagation
-
J.-J. Liou, K.-T. Cheng, S. Kundu, and A. Krstic, "Fast statistical timing analysis by probabilistic event propagation," Design Automation Confer ence, 2001.
-
(2001)
Design Automation Confer ence
-
-
Liou, J.-J.1
Cheng, K.-T.2
Kundu, S.3
Krstic, A.4
-
34
-
-
0002576974
-
BTGFF: Task graphs for free
-
R. Dick, R. P. Dick, D. L. Rhodes, and W. Wolf, "BTGFF: task graphs for free," International Workshop on Hardware/Software Codesign, 1998.
-
(1998)
International Workshop on Hardware/Software Codesign
-
-
Dick, R.1
Dick, R.P.2
Rhodes, D.L.3
Wolf, W.4
|