-
2
-
-
72249085354
-
FAWN: A fast array of wimpy nodes
-
D. G. Andersen, J. Franklin, M. Kaminsky, A. Phanishayee, L. Tan, and V. Vasudevan. FAWN: A Fast Array of Wimpy Nodes. In the Proceedings of the ACM SIGOPS 22nd Symposium on Operating Systems Principles (SOSP), pages 1-14, 2009.
-
(2009)
Proceedings of the ACM SIGOPS 22nd Symposium on Operating Systems Principles (SOSP)
, pp. 1-14
-
-
Andersen, D.G.1
Franklin, J.2
Kaminsky, M.3
Phanishayee, A.4
Tan, L.5
Vasudevan, V.6
-
3
-
-
84864688266
-
Workload analysis of a large-scale key-value store
-
B. Atikoglu, Y. Xu, E. Frachtenberg, S. Jiang, and M. Paleczny. Workload Analysis of a Large-Scale Key-Value Store. In Proceedings of the 12th ACM SIGMETRICS/PERFORMANCE Joint International Conference on Measurement and Modeling of Computer Systems, pages 53-64, 2012.
-
(2012)
Proceedings of the 12th Acm Sigmetrics/performance Joint International Conference on Measurement and Modeling of Computer Systems
, pp. 53-64
-
-
Atikoglu, B.1
Xu, Y.2
Frachtenberg, E.3
Jiang, S.4
Paleczny, M.5
-
4
-
-
84860695878
-
A 1.2v 30nm 1.6gb/s/pin 4gb lpddr3 sdram with input skew calibration and enhanced control scheme
-
Y.-C. Bae, J.-Y. Park, S. J. Rhee, S. B. Ko, Y. Jeong, K.-S. Noh, Y. Son, J. Youn, Y. Chu, H. Cho, M. Kim, D. Yim, H.-C. Kim, S.-H. Jung, H.-I. Choi, S. Yim, J.-B. Lee, J.-S. Choi, and K. Oh. A 1.2V 30nm 1.6Gb/s/pin 4Gb LPDDR3 SDRAM with Input Skew Calibration and Enhanced Control Scheme. In Proceedings of the 2012 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pages 44-46, 2012.
-
(2012)
Proceedings of the 2012 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC)
, pp. 44-46
-
-
Bae, Y.-C.1
Park, J.-Y.2
Rhee, S.J.3
Ko, S.B.4
Jeong, Y.5
Noh, K.-S.6
Son, Y.7
Youn, J.8
Chu, Y.9
Cho, H.10
Kim, M.11
Yim, D.12
Kim, H.-C.13
Jung, S.-H.14
Choi, H.-I.15
Yim, S.16
Lee, J.-B.17
Choi, J.-S.18
Oh, K.19
-
5
-
-
84897772888
-
Niagara-2: A highly threaded server-on-a-chip
-
J. Barreh, J. Brooks, R. Golla, G. Grohoski, R. Hetherington, P. Jordan, M. Luttrell, C. Olson, and M. Shah. Niagara-2: A Highly Threaded Server-on-a-Chip. In Proceedings of the 18th Hot Chips Symposium, 2006.
-
(2006)
Proceedings of the 18th Hot Chips Symposium
-
-
Barreh, J.1
Brooks, J.2
Golla, R.3
Grohoski, G.4
Hetherington, R.5
Jordan, P.6
Luttrell, M.7
Olson, C.8
Shah, M.9
-
6
-
-
80053186132
-
Many-core key-value store
-
M. Berezecki, E. Frachtenberg, M. Paleczny, and K. Steele. Many-Core Key-Value Store. In Proceedings of the 2011 International Green Computing Conference and Workshops (IGCC), pages 1-8, 2011.
-
(2011)
Proceedings of the 2011 International Green Computing Conference and Workshops (IGCC)
, pp. 1-8
-
-
Berezecki, M.1
Frachtenberg, E.2
Paleczny, M.3
Steele, K.4
-
7
-
-
84859464490
-
The gem5 simulator
-
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood. The gem5 Simulator. SIGARCH Computer Architecture News, 39(2):1-7, 2011.
-
(2011)
SIGARCH Computer Architecture News
, vol.39
, Issue.2
, pp. 1-7
-
-
Binkert, N.1
Beckmann, B.2
Black, G.3
Reinhardt, S.K.4
Saidi, A.5
Basu, A.6
Hestness, J.7
Hower, D.R.8
Krishna, T.9
Sardashti, S.10
Sen, R.11
Sewell, K.12
Shoaib, M.13
Vaish, N.14
Hill, M.D.15
Wood, D.A.16
-
9
-
-
84862683522
-
A limits study of benefits from nanostore-based future data-centric system architectures
-
J. Chang, P. Ranganathan, T. Mudge, D. Roberts, M. A. Shah, and K. T. Lim. A Limits Study of Benefits from Nanostore-Based Future Data-Centric System Architectures. In Proceedings of the 9th Conference on Computing Frontiers (CF), pages 33-42, 2012.
-
(2012)
Proceedings of the 9th Conference on Computing Frontiers (CF)
, pp. 33-42
-
-
Chang, J.1
Ranganathan, P.2
Mudge, T.3
Roberts, D.4
Shah, M.A.5
Lim, K.T.6
-
10
-
-
0345953602
-
On the performance and use of dense servers
-
W. Felter, T. Keller, M. Kistler, C. Lefurgy, K. Rajamani, R. Rajamony, F. Rawson, B. A. Smith, and E. Van Hensbergen. On the Performance and Use of Dense Servers. IBM Journal of Research and Development, 47(5.6):671-688, 2003.
-
(2003)
IBM Journal of Research and Development
, vol.47
, Issue.5-6
, pp. 671-688
-
-
Felter, W.1
Keller, T.2
Kistler, M.3
Lefurgy, C.4
Rajamani, K.5
Rajamony, R.6
Rawson, F.7
Smith, B.A.8
Van Hensbergen, E.9
-
11
-
-
84858791438
-
Clearing the clouds: A study of emerging scale-out workloads on modern hardware
-
M. Ferdman, A. Adileh, O. Kocberber, S. Volos, M. Alisafaee, D. Jevdjic, C. Kaynak, A. D. Popescu, A. Ailamaki, and B. Falsafi. Clearing the Clouds: A Study of Emerging Scale-out Workloads on Modern Hardware. In Proceedings of the Seventeenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 37-48, 2012.
-
(2012)
Proceedings of the Seventeenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 37-48
-
-
Ferdman, M.1
Adileh, A.2
Kocberber, O.3
Volos, S.4
Alisafaee, M.5
Jevdjic, D.6
Kaynak, C.7
Popescu, A.D.8
Ailamaki, A.9
Falsafi, B.10
-
12
-
-
84870520103
-
Quantifying the mismatch between emerging scale-out applications and modern processors
-
M. Ferdman, A. Adileh, O. Kocberber, S. Volos, M. Alisafaee, D. Jevdjic, C. Kaynak, A. D. Popescu, A. Ailamaki, and B. Falsafi. Quantifying the Mismatch Between Emerging Scale-Out Applications and Modern Processors. ACM Transactions on Computing Systems, 30 (4):15:1-15:24, 2012.
-
(2012)
ACM Transactions on Computing Systems
, vol.30
, Issue.4
, pp. 151-1524
-
-
Ferdman, M.1
Adileh, A.2
Kocberber, O.3
Volos, S.4
Alisafaee, M.5
Jevdjic, D.6
Kaynak, C.7
Popescu, A.D.8
Ailamaki, A.9
Falsafi, B.10
-
13
-
-
84963734588
-
-
Accessed: July 1, 2013
-
A. Gartrell, M. Srinivasan, B. Alger, and K. Sundararajan. McDipper: A Key-Value Cache for Flash Storage. http://www.facebook.com/notes/facebook- engineering/mcdipper-a-key-value-for-flash-storage/10151347090423920, 2013. Accessed: July 1, 2013.
-
(2013)
McDipper: A Key-Value Cache for Flash Storage
-
-
Gartrell, A.1
Srinivasan, M.2
Alger, B.3
Sundararajan, K.4
-
14
-
-
84899667235
-
Exploring dram organizations for engery-efficient and resilient exascale memories
-
B. Giridhar, M. Cieslak, D. Duggal, R. G. Dreslinski, R. Patti, B. Hold, C. Chakrabarti, T. Mudge, and D. Blaauw. Exploring DRAM Organizations for Engery-Efficient and Resilient Exascale Memories. In Proceedings of the 2013 International Conference for High Performance Computing, Networking, Storage and Analysis (SC), pages 23:1-23:12, 2013.
-
(2013)
Proceedings of the 2013 International Conference for High Performance Computing, Networking, Storage and Analysis (SC)
, pp. 231-2312
-
-
Giridhar, B.1
Cieslak, M.2
Duggal, D.3
Dreslinski, R.G.4
Patti, R.5
Hold, B.6
Chakrabarti, C.7
Mudge, T.8
Blaauw, D.9
-
15
-
-
76749111585
-
Characterizing flash memory: Anomalies, obervations, and applications
-
L. M. Grupp, A. M. Caulfield, J. Coburn, S. Swanson, E. Yaakobi, P. H. Siegel, and J. K. Wolf. Characterizing Flash Memory: Anomalies, Obervations, and Applications. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 24-33, 2009.
-
(2009)
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO
, pp. 24-33
-
-
Grupp, L.M.1
Caulfield, A.M.2
Coburn, J.3
Swanson, S.4
Yaakobi, E.5
Siegel, P.H.6
Wolf, J.K.7
-
17
-
-
36849034066
-
SPEC cpu2006 benchmark descriptions
-
J. L. Henning. SPEC CPU2006 Benchmark Descriptions. SIGARCH Computer Architecture News, 34(4):1-17, 2006.
-
(2006)
SIGARCH Computer Architecture News
, vol.34
, Issue.4
, pp. 1-17
-
-
Henning, J.L.1
-
19
-
-
84881191462
-
Die-stacked dram caches for servers: Hit ratio, latency, or bandwidth? Have it all with footprint cache
-
D. Jevdjic, S. Volos, and B. Falsafi. Die-Stacked DRAM Caches for Servers: Hit Ratio, Latency, or Bandwidth? Have It All with Footprint Cache. In Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA), 2013.
-
(2013)
Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA)
-
-
Jevdjic, D.1
Volos, S.2
Falsafi, B.3
-
20
-
-
0030704545
-
Consistent hashing and random trees: Distributed caching protocols for relieving hot spots on theworldwideweb
-
D. Karger, E. Lehman, T. Leighton, R. Panigrahy, M. Levine, and D. Lewin. Consistent Hashing and Random Trees: Distributed Caching Protocols for Relieving Hot Spots on theWorldWideWeb. In Proceedings of the Twenty-Ninth Annual ACM Symposium on Theory of Computing (STOC), pages 654-663, 1997.
-
(1997)
Proceedings of the Twenty-Ninth Annual ACM Symposium on Theory of Computing (STOC)
, pp. 654-663
-
-
Karger, D.1
Lehman, E.2
Leighton, T.3
Panigrahy, R.4
Levine, M.5
Lewin, D.6
-
21
-
-
71049162177
-
Pipe-shaped bics flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices
-
R. Katsumata, M. Kito, Y. Fukuzumi, M. Kido, H. Tanaka, Y. Komori, M. Ishiduki, J. Matsunami, T. Fujiwara, Y. Nagata, L. Zhang, Y. Iwata, R. Kirisawa, H. Aochi, and A. Nitayama. Pipe-Shaped BiCS Flash Memory with 16 Stacked Layers and Multi-Level-Cell Operation for Ultra High Density Storage Devices. In Proceedings of the 2009 Symposium on VLSI Technology, pages 136-137, 2009.
-
(2009)
Proceedings of the 2009 Symposium on VLSI Technology
, pp. 136-137
-
-
Katsumata, R.1
Kito, M.2
Fukuzumi, Y.3
Kido, M.4
Tanaka, H.5
Komori, Y.6
Ishiduki, M.7
Matsunami, J.8
Fujiwara, T.9
Nagata, Y.10
Zhang, L.11
Iwata, Y.12
Kirisawa, R.13
Aochi, H.14
Nitayama, A.15
-
23
-
-
34547476643
-
PicoServer: Using 3d stacking technology to enable a compact energy efficient chip multiprocessor
-
T. Kgil, S. D'Souza, A. Saidi, N. Binkert, R. Dreslinski, T. Mudge, S. Reinhardt, and K. Flautner. PicoServer: Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor. In Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 117-128, 2006.
-
(2006)
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 117-128
-
-
Kgil, T.1
D'Souza, S.2
Saidi, A.3
Binkert, N.4
Dreslinski, R.5
Mudge, T.6
Reinhardt, S.7
Flautner, K.8
-
25
-
-
79955711352
-
A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4x128 I/Os Using TSV-based stacking
-
J.-S. Kim, C. S. Oh, H. Lee, D. Lee, H.-R. Hwang, S. Hwang, B. Na, J. Moon, J.-G. Kim, H. Park, J.-W. Ryu, K. Park, S.-K. Kang, S.-Y. Kim, H. Kim, J.-M. Bang, H. Cho, M. Jang, C. Han, J.-B. Lee, K. Kyung, J.-S. Choi, and Y.-H. Jun. A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4x128 I/Os Using TSV-Based Stacking. In Proceedings of the 2011 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pages 496-498, 2011.
-
(2011)
Proceedings of the 2011 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC)
, pp. 496-498
-
-
Kim, J.-S.1
Oh, C.S.2
Lee, H.3
Lee, D.4
Hwang, H.-R.5
Hwang, S.6
Na, B.7
Moon, J.8
Kim, J.-G.9
Park, H.10
Ryu, J.-W.11
Park, K.12
Kang, S.-K.13
Kim, S.-Y.14
Kim, H.15
Bang, J.-M.16
Cho, H.17
Jang, M.18
Han, C.19
Lee, J.-B.20
Kyung, K.21
Choi, J.-S.22
Jun, Y.-H.23
more..
-
26
-
-
20344374162
-
Niagara: A 32-way multithreaded sparc processor
-
P. Kongetira, K. Aingaran, and K. Olukotun. Niagara: A 32-way multithreaded sparc processor. IEEE Micro, 25(2):21-29, 2005.
-
(2005)
IEEE Micro
, vol.25
, Issue.2
, pp. 21-29
-
-
Kongetira, P.1
Aingaran, K.2
Olukotun, K.3
-
28
-
-
52749085695
-
Understanding and designing new server architectures for emerging warehouse-computing environments
-
K. Lim, P. Ranganathan, J. Chang, C. Patel, T. Mudge, and S. Reinhardt. Understanding and Designing New Server Architectures for Emerging Warehouse-Computing Environments. In Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA), pages 315-326, 2008.
-
(2008)
Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA)
, pp. 315-326
-
-
Lim, K.1
Ranganathan, P.2
Chang, J.3
Patel, C.4
Mudge, T.5
Reinhardt, S.6
-
29
-
-
84881144734
-
Thin servers with smart pipes: Designing soc accelerators for memcached
-
K. Lim, D. Meisner, A. Saidi, P. Ranganathan, and T. Wenisch. Thin Servers with Smart Pipes: Designing SoC Accelerators for Memcached. In Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA), 2013.
-
(2013)
Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA)
-
-
Lim, K.1
Meisner, D.2
Saidi, A.3
Ranganathan, P.4
Wenisch, T.5
-
30
-
-
84864861874
-
Scale-out processors
-
P. Lotfi-Kamran, B. Grot, M. Ferdman, S. Volos, O. Kocberber, J. Picorel, A. Adileh, D. Jevdjic, S. Idgunji, E. Ozer, and B. Falsafi. Scale-Out Processors. In Proceedings of the 39th Annual International Symposium on Computer Architecture (ISCA), pages 500-511, 2012.
-
(2012)
Proceedings of the 39th Annual International Symposium on Computer Architecture (ISCA)
, pp. 500-511
-
-
Lotfi-Kamran, P.1
Grot, B.2
Ferdman, M.3
Volos, S.4
Kocberber, O.5
Picorel, J.6
Adileh, A.7
Jevdjic, D.8
Idgunji, S.9
Ozer, E.10
Falsafi, B.11
-
33
-
-
84872092486
-
Thermal characterization of cloud workloads on a power-efficient server-on-chip
-
D. Milojevic, S. Idgunji, D. Jevdjic, E. Ozer, P. Lotfi-Kamran, A. Panteli, A. Prodromou, C. Nicopoulos, D. Hardy, B. Falsari, and Y. Sazeides. Thermal Characterization of Cloud Workloads on a Power-Efficient Server-on-Chip. In Proceedings of the IEEE 30th International Conference on Computer Design (ICCD), pages 175-182, 2012.
-
(2012)
Proceedings of the IEEE 30th International Conference on Computer Design (ICCD)
, pp. 175-182
-
-
Milojevic, D.1
Idgunji, S.2
Jevdjic, D.3
Ozer, E.4
Lotfi-Kamran, P.5
Panteli, A.6
Prodromou, A.7
Nicopoulos, C.8
Hardy, D.9
Falsari, B.10
Sazeides, Y.11
-
36
-
-
84855295266
-
Hybrid memory cube: Breakthrough dram performance with a fundamentally re-architected dram subsystem
-
J. Pawlowski. Hybrid Memory Cube: Breakthrough DRAM Performance with a Fundamentally Re-Architected DRAM Subsystem. In Proceedings of the 23rd Hot Chips Symposium, 2011.
-
(2011)
Proceedings of the 23rd Hot Chips Symposium
-
-
Pawlowski, J.1
-
38
-
-
77954977639
-
Web search using mobile cores: Quantifying and mitigating the price of efficiency
-
V. J. Reddi, B. C. Lee, T. Chilimbi, and K. Vaid. Web Search Using Mobile Cores: Quantifying and Mitigating the Price of Efficiency. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA), pages 314-325, 2010.
-
(2010)
Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA)
, pp. 314-325
-
-
Reddi, V.J.1
Lee, B.C.2
Chilimbi, T.3
Vaid, K.4
-
39
-
-
70350075829
-
Using non-volatile memory to save energy in servers
-
D. Roberts, T. Kgil, and T. Mudge. Using Non-Volatile Memory to Save Energy in Servers. In Proceedings of the 2009 Design, Automation Test in Europe Conference Exhibition (DATE), pages 743-748, 2009.
-
(2009)
Proceedings of the 2009 Design, Automation Test in Europe Conference Exhibition (DATE)
, pp. 743-748
-
-
Roberts, D.1
Kgil, T.2
Mudge, T.3
-
40
-
-
84897772885
-
-
Accessed: May 29, 2013
-
T. Semiconductor. http://www.tezzaron.com. Accessed: May 29, 2013.
-
T. Semiconductor
-
-
-
41
-
-
0034782005
-
Chord: A scalable peer-to-peer lookup service for internet applications
-
I. Stoica, R. Morris, D. Karger, M. F. Kaashoek, and H. Balakrishnan. Chord: A Scalable Peer-to-peer Lookup Service for Internet Applications. In Proceedings of the 2001 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communications (SIGCOMM), pages 149-160, 2001.
-
(2001)
Proceedings of the 2001 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communications (SIGCOMM)
, pp. 149-160
-
-
Stoica, I.1
Morris, R.2
Karger, D.3
Kaashoek, M.F.4
Balakrishnan, H.5
-
42
-
-
84897811721
-
-
Accessed: May 29, 2013
-
G. Whalin. Memcached Java Client. http://github.com/gwhalin/Memcached- Java-Client. Accessed: May 29, 2013.
-
Memcached Java Client
-
-
Whalin, G.1
-
44
-
-
84897820807
-
-
Accessed: July 1, 2013
-
Wireshark. http://wireshark.org. Accessed: July 1, 2013.
-
Wireshark
-
-
-
45
-
-
84897811722
-
-
Accessed: May 29, 2013
-
M. Zuckerberg. Facebook and memcached. http://www.facebook.com/video/ video.php'v=631826881803, 2008. Accessed: May 29, 2013.
-
(2008)
Facebook and Memcached
-
-
Zuckerberg, M.1
|