-
1
-
-
67649654659
-
Tile processor: Embedded multicore for networking and multimedia
-
A. Agarwal, L. Bao, J. Brown, B. Edwards, M. Mattina, C.-C. Miao, C. Ramey, and D. Wentzlaff, "Tile Processor: Embedded Multicore for Networking and Multimedia," Proc. Hot Chips 19 Archives, 2007.
-
(2007)
Proc. Hot Chips 19 Archives
-
-
Agarwal, A.1
Bao, L.2
Brown, J.3
Edwards, B.4
Mattina, M.5
Miao, C.-C.6
Ramey, C.7
Wentzlaff, D.8
-
2
-
-
21644484511
-
The fuzzy correlation between code and performance predictability
-
Proceedings of the 37th Annual International Symposium on Microarchitecture, MICRO-37 2004
-
M. Annavaram, R. Rakvic, M. Polito, J.-Y. Bouguet, R.A. Hankins, and B. Davies, "The Fuzzy Correlation between Code and Performance Predictability," Proc. IEEE/ACM Int'l Symp. Microarchitecture (MICRO), pp. 93-104, 2004. (Pubitemid 40927689)
-
(2004)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 93-104
-
-
Annavaram, M.1
Rakvic, R.2
Polito, M.3
Bouguet, J.-Y.4
Hankins, R.5
Davies, B.6
-
4
-
-
0030826853
-
Towards a communication characterization methodology for parallel applications
-
S. Chodnekar, V. Srinivasan, A.S. Vaidya, A. Sivasubramaniam, and C.R. Das, "Towards a Communication Characterization Methodology for Parallel Applications," Proc. Third IEEE Symp. High-Performance Computer Architecture (HPCA), pp. 310-319, 1997.
-
(1997)
Proc. Third IEEE Symp. High-Performance Computer Architecture (HPCA)
, pp. 310-319
-
-
Chodnekar, S.1
Srinivasan, V.2
Vaidya, A.S.3
Sivasubramaniam, A.4
Das, C.R.5
-
5
-
-
76749124429
-
Application-aware prioritization mechanisms for on-chip networks
-
R. Das, O. Mutlu, T. Moscibroda, and C.R. Das, "Application-Aware Prioritization Mechanisms for On-Chip Networks," Proc. IEEE/ACM Int'l Symp. Microarchitecture (MICRO), pp. 280-291, 2009.
-
(2009)
Proc. IEEE/ACM Int'l Symp. Microarchitecture (MICRO)
, pp. 280-291
-
-
Das, R.1
Mutlu, O.2
Moscibroda, T.3
Das, C.R.4
-
6
-
-
33746683732
-
Maximizing CMP throughput with mediocre cores
-
DOI 10.1109/PACT.2005.42, 1515580, 14th International Conference on Parallel Architectures and Compilation Techniques, PACT 2005
-
J.D. Davis, J. Laudon, and K. Olukotun, "Maximizing CMP Throughput with Mediocre Cores," Proc. 14th Int'l Conf. Parallel Architectures and Compilation Techniques (PACT), pp. 51-62, 2005. (Pubitemid 44159727)
-
(2005)
Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT
, vol.2005
, pp. 51-62
-
-
Davis, J.D.1
Laudon, J.2
Olukotun, K.3
-
8
-
-
84968860873
-
Characterizing and predicting program behavior and its variability
-
E. Duesterwald, C. Cascaval, and S. Dwarkadas, "Characterizing and Predicting Program Behavior and Its Variability," Proc. 12th Int'l Conf. Parallel Architectures and Compilation Techniques (PACT), pp. 220-231, 2003.
-
(2003)
Proc. 12th Int'l Conf. Parallel Architectures and Compilation Techniques (PACT)
, pp. 220-231
-
-
Duesterwald, E.1
Cascaval, C.2
Dwarkadas, S.3
-
9
-
-
57749191721
-
Regional congestion awareness for load balance in networks-on-chip
-
P. Gratz, B. Grot, and S.W. Keckler, "Regional Congestion Awareness for Load Balance in Networks-on-Chip," Proc. Int'l Symp. High-Performance Computer Architecture (HPCA), pp. 203-214, 2008.
-
(2008)
Proc. Int'l Symp. High-Performance Computer Architecture (HPCA)
, pp. 203-214
-
-
Gratz, P.1
Grot, B.2
Keckler, S.W.3
-
10
-
-
64949096127
-
Express cube topologies for on-chip interconnects
-
B. Grot, J. Hestness, S.W. Keckler, and O. Mutlu, "Express Cube Topologies for On-Chip Interconnects," Proc. Int'l Symp. High-Performance Computer Architecture (HPCA), pp. 163-174, 2009.
-
(2009)
Proc. Int'l Symp. High-Performance Computer Architecture (HPCA)
, pp. 163-174
-
-
Grot, B.1
Hestness, J.2
Keckler, S.W.3
Mutlu, O.4
-
12
-
-
36849022584
-
A 5-GHz mesh interconnect for a teraflops processor
-
DOI 10.1109/MM.2007.4378783
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar, "A 5-GHz Mesh Interconnect for a Teraflops Processor," IEEE Micro, vol. 27, no. 5, pp. 51-61, Sept./Oct. 2007. (Pubitemid 350218387)
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
13
-
-
33748856569
-
Phase characterization for power: Evaluating control-flow-based and event-counter-based techniques
-
DOI 10.1109/HPCA.2006.1598119, 1598119, Proceedings - Twelfth International Symposium on High-Performance Computer Architecture, 2006
-
C. Isci and M. Martonosi, "Phase Characterization for Power: Evaluating Control Flow-Based and Event-Counter-Based Techniques," Proc. 12th Int'l Symp. High-Performance Computer Architecture (HPCA), pp. 122-133, 2006. (Pubitemid 44418384)
-
(2006)
Proceedings - International Symposium on High-Performance Computer Architecture
, vol.2006
, pp. 122-133
-
-
Isci, C.1
Martonpsi, M.2
-
14
-
-
34547614597
-
Circuit-switched coherence
-
N.D.E. Jerger, M.H. Lipasti, and L.-S. Peh, "Circuit-Switched Coherence," Computer Architecture Letters, vol. 6, no. 1, pp. 5-8, 2007.
-
(2007)
Computer Architecture Letters
, vol.6
, Issue.1
, pp. 5-8
-
-
Jerger, N.D.E.1
Lipasti, M.H.2
Peh, L.-S.3
-
15
-
-
70350060187
-
ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration
-
A.B. Kahng, B. Li, L.-S. Peh, and K. Samadi, "ORION 2.0: A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration," Proc. Conf. Design, Automation and Test in Europe (DATE), pp. 423-428, 2009.
-
(2009)
Proc. Conf. Design, Automation and Test in Europe (DATE)
, pp. 423-428
-
-
Kahng, A.B.1
Li, B.2
Peh, L.-S.3
Samadi, K.4
-
17
-
-
47349129525
-
Flattened butterfly topology for on-chip networks
-
J. Kim, J. Balfour, and W.J. Dally, "Flattened Butterfly Topology for On-Chip Networks," Proc. IEEE/ACM Int'l Symp. Microarchitecture (MICRO), pp. 172-182, 2007.
-
(2007)
Proc. IEEE/ACM Int'l Symp. Microarchitecture (MICRO)
, pp. 172-182
-
-
Kim, J.1
Balfour, J.2
Dally, W.J.3
-
18
-
-
52649174496
-
Polymorphic on-chip networks
-
M.M. Kim, J.D. Davis, and T. Austin, "Polymorphic On-Chip Networks," Proc. 35th Ann. Int'l Symp. Computer Architecture (ISCA), pp. 101-112, 2008.
-
(2008)
Proc. 35th Ann. Int'l Symp. Computer Architecture (ISCA)
, pp. 101-112
-
-
Kim, M.M.1
Davis, J.D.2
Austin, T.3
-
19
-
-
70450237428
-
Application-aware deadlock-free oblivious routing
-
M.A. Kinsy, M.H. Cho, T. Wen, G.E. Suh, M. van Dijk, and S. Devadas, "Application-Aware Deadlock-Free Oblivious Routing," Proc. 36th Ann. Int'l Symp. Computer Architecture (ISCA), pp. 208-219, 2009.
-
(2009)
Proc. 36th Ann. Int'l Symp. Computer Architecture (ISCA)
, pp. 208-219
-
-
Kinsy, M.A.1
Cho, M.H.2
Wen, T.3
Suh, G.E.4
Van Dijk, M.5
Devadas, S.6
-
20
-
-
35348858651
-
Express virtual channels: Towards the ideal interconnection fabric
-
DOI 10.1145/1250662.1250681, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings
-
A. Kumar, L.-S. Peh, P. Kundu, and N.K. Jha, "Express Virtual Channels: Towards the Ideal Interconnection Fabric," Proc. 34th Ann. Int'l Symp. Computer Architecture (ISCA), pp. 150-161, 2007. (Pubitemid 47582099)
-
(2007)
Proceedings - International Symposium on Computer Architecture
, pp. 150-161
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
21
-
-
28444470151
-
Transition phase classification and prediction
-
Proceedings - 11th International Symposium on High-Performance Computer Architecture, HPCA-11 2005
-
J. Lau, S. Schoenmackers, and B. Calder, "Transition Phase Classification and Prediction," Proc. 11th Int'l Symp. High-Performance Computer Architecture (HPCA), pp. 278-289, 2005. (Pubitemid 41731508)
-
(2005)
Proceedings - International Symposium on High-Performance Computer Architecture
, pp. 278-289
-
-
Lau, J.1
Schoenmackers, S.2
Calder, B.3
-
22
-
-
52649094492
-
Globally-synchronized frames for guaranteed quality-of-service in on-chip networks
-
J.W. Lee, M.C. Ng, and K. Asanovic, "Globally-Synchronized Frames for Guaranteed Quality-of-Service in On-Chip Networks," Proc. 35th Ann. Int'l Symp. Computer Architecture (ISCA), pp. 89-100, 2008.
-
(2008)
Proc. 35th Ann. Int'l Symp. Computer Architecture (ISCA)
, pp. 89-100
-
-
Lee, J.W.1
Ng, M.C.2
Asanovic, K.3
-
23
-
-
0036469676
-
Simics: A full system simulation platform
-
Feb
-
P.S. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hållberg, J. Högberg, F. Larsson, A. Moestedt, and B. Werner, "Simics: A Full System Simulation Platform," Computer, vol. 35, no. 2, pp. 50-58, Feb. 2002.
-
(2002)
Computer
, vol.35
, Issue.2
, pp. 50-58
-
-
Magnusson, P.S.1
Christensson, M.2
Eskilson, J.3
Forsgren, D.4
Hållberg, G.5
Högberg, J.6
Larsson, F.7
Moestedt, A.8
Werner, B.9
-
24
-
-
33748870886
-
Multifacet's general execution-driven multiprocessor simulator (gems) toolset
-
M.M. Martin, D.J. Sorin, B.M. Beckmann, M.R. Marty, M. Xu, A.R. Alameldeen, K.E. Moore, M.D. Hill, and D.A. Wood, "Multifacet's General Execution-Driven Multiprocessor Simulator (GEMS) Toolset," Computer Architecture News, vol. 33, no. 4, pp. 92-99, 2005.
-
(2005)
Computer Architecture News
, vol.33
, Issue.4
, pp. 92-99
-
-
Martin, M.M.1
Sorin, D.J.2
Beckmann, B.M.3
Marty, M.R.4
Xu, M.5
Alameldeen, A.R.6
Moore, K.E.7
Hill, M.D.8
Wood, D.A.9
-
26
-
-
33746930901
-
"It's a small world after all": NoC performance optimization via long-range link insertion
-
DOI 10.1109/TVLSI.2006.878263, 1661619
-
Ü. Y. Ogras and R. Marculescu, ""It's a Small World After All": NoC Performance Optimization via Long-Range Link Insertion," IEEE Trans. Very Large Scale Integration (VLSI) System, vol. 14, no. 7, pp. 693-706, July 2006. (Pubitemid 44192223)
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.7
, pp. 693-706
-
-
Ogras, U.Y.1
Marculescu, R.2
-
27
-
-
78650864125
-
Sun's next-generation multi-threaded processor-rainbow falls
-
S. Patel, "Sun's Next-Generation Multi-Threaded Processor-Rainbow Falls," Proc. Hot Chips 21 Archives, 2009.
-
(2009)
Proc. Hot Chips 21 Archives
-
-
Patel, S.1
-
29
-
-
0033876324
-
A predictability analysis of network traffic
-
A. Sang and S. qi Li, "A Predictability Analysis of Network Traffic," Proc. IEEE INFOCOM, pp. 342-351, 2000.
-
(2000)
Proc. IEEE INFOCOM
, pp. 342-351
-
-
Sang, A.1
Qi Li, S.2
-
30
-
-
0035182089
-
Basic Block Distribution Analysis to find periodic behavior and simulation points in applications
-
T. Sherwood, E. Perelman, and B. Calder, "Basic Block Distribution Analysis to Find Periodic Behavior and Simulation Points in Applications," Proc. Int'l Conf. Parallel Architectures and Compilation Techniques (PACT), pp. 3-14, 2001. (Pubitemid 33085420)
-
(2001)
Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT
, pp. 3-14
-
-
Sherwood, T.1
Perelman, E.2
Calder, B.3
-
31
-
-
0036953769
-
Automatically characterizing large scale program behavior
-
DOI 10.1145/635508.605403
-
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder, "Automatically Characterizing Large Scale Program Behavior," Proc. 10th Int'l Conf. Architecture Support for Programming Language and Operating Systems (ASPLOS), pp. 45-57, 2002. (Pubitemid 44892222)
-
(2002)
Operating Systems Review (ACM)
, vol.36
, Issue.5
, pp. 45-57
-
-
Sherwood, T.1
Perelman, E.2
Hamerly, G.3
Calder, B.4
-
32
-
-
0038345698
-
Phase tracking and prediction
-
T. Sherwood, S. Sair, and B. Calder, "Phase Tracking and Prediction," Proc. 30th Ann. Int'l Symp. Computer Architecture (ISCA), pp. 336-347, 2003.
-
(2003)
Proc. 30th Ann. Int'l Symp. Computer Architecture (ISCA)
, pp. 336-347
-
-
Sherwood, T.1
Sair, S.2
Calder, B.3
-
34
-
-
84891462850
-
A statistical traffic model for on-chip interconnection networks
-
V. Soteriou, H. Wang, and L.-S. Peh, "A Statistical Traffic Model for On-Chip Interconnection Networks," Proc. 14th IEEE Int'l Symp. Modeling, Analysis, and Simulation of Computer and Telecomm. Systems (MASCOTS), pp. 104-116, 2006.
-
(2006)
Proc. 14th IEEE Int'l Symp. Modeling, Analysis, and Simulation of Computer and Telecomm. Systems (MASCOTS)
, pp. 104-116
-
-
Soteriou, V.1
Wang, H.2
Peh, L.-S.3
-
37
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
S.C. Woo, M. Ohara, E. Torrie, J.P. Singh, and A. Gupta, "The SPLASH-2 Programs: Characterization and Methodological Considerations," Proc. 22nd Ann. Int'l Symp. Computer Architecture (ISCA), pp. 24-36, 1995.
-
(1995)
Proc. 22nd Ann. Int'l Symp. Computer Architecture (ISCA)
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
38
-
-
70349170773
-
Analyzing the impact of on-chip network traffic on program phases for CMPs
-
Y. Zhang, B. Özisikyilmaz, G. Memik, J. Kim, and A.N. Choudhary, "Analyzing the Impact of On-Chip Network Traffic on Program Phases for CMPs," Proc. IEEE Int'l Symp. Performance Analysis of Systems and Software (ISPASS), pp. 218-226, 2009.
-
(2009)
Proc. IEEE Int'l Symp. Performance Analysis of Systems and Software (ISPASS)
, pp. 218-226
-
-
Zhang, Y.1
Özisikyilmaz, B.2
Memik, G.3
Kim, J.4
Choudhary, A.N.5
|