-
2
-
-
33644640188
-
Stable SRAM cell design for the 32 nm node and beyond
-
DOI 10.1109/.2005.1469239, 1469239, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard, R. K. Montoye, L. Sekaric, S. J. McNab, A. W. Topol, C. D. Adams, K. W. Guarini, and W. Haensch, "Stable SRAM cell design for the 32 nm node and beyond," in Symp. VLSI Technology Dig. Tech. Papers, 2005, pp. 128-129. (Pubitemid 43897595)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 128-129
-
-
Chang, L.1
Fried, D.M.2
Hergenrother, J.3
Sleight, J.W.4
Dennard, R.H.5
Montoye, R.K.6
Sekaric, L.7
McNab, S.J.8
Topol, A.W.9
Adams, C.D.10
Guarini, K.W.11
Haensch, W.12
-
3
-
-
37749046808
-
An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment
-
DOI 10.1109/VLSIC.2007.4342741, 4342741, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii, H. Kawaguchi, and M. Yoshimoto, "An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment," in Symp. VLSI Circuits Dig. Tech. Papers, 2007, pp. 256-257. (Pubitemid 351306645)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 256-257
-
-
Morita, Y.1
Fujiwara, H.2
Noguchi, H.3
Iguchi, Y.4
Nii, K.5
Kawaguchi, H.6
Yoshimoto, M.7
-
4
-
-
37749013850
-
A 5.3GHz 8T-SRAM with operation down to 0.41V in 65nm CMOS
-
DOI 10.1109/VLSIC.2007.4342739, 4342739, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
L. Chang, Y. Nakamura, R. K. Montoye, J. Sawada, A. K. Martin, K. Kinoshita, F. H. Gebara, K. B. Agarwal, D. J. Acharyya, W. Haensch, K. Hosokawa, and D. Jamsek, "A 5.3 GHz 8T-SRAM with operation down to 0.41 V in 65 nm CMOS," in Symp. VLSI Circuits Dig. Tech. Papers, 2007, pp. 252-253. (Pubitemid 351306643)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 252-253
-
-
Chang, L.1
Nakamura, Y.2
Montoye, R.K.3
Sawada, J.4
Martin, A.K.5
Kinoshita, K.6
Gebara, F.H.7
Agarwal, K.B.8
Achaiyya, D.J.9
Haensch, W.10
Hosokawa, K.11
Jamsek, D.12
-
5
-
-
31344451652
-
A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply
-
DOI 10.1109/JSSC.2005.859025
-
K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y.Wang, B. Zheng, and M. Bohr, "A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply," IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 146-151, Jan. 2006. (Pubitemid 43145972)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 146-151
-
-
Zhang, K.1
Bhattacharya, U.2
Chen, Z.3
Hamzaoglu, F.4
Murray, D.5
Vallepalli, N.6
Wang, Y.7
Zheng, B.8
Bohr, M.9
-
6
-
-
85008042429
-
A 45- nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations
-
Jan.
-
K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. Ishikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, G. Okazaki, K. Satomi, H. Akamatsu, and H. Shinohara, "A 45- nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 180-191, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 180-191
-
-
Nii, K.1
Yabuuchi, M.2
Tsukamoto, Y.3
Ohbayashi, S.4
Imaoka, S.5
Makino, H.6
Yamagami, Y.7
Ishikura, S.8
Terano, T.9
Oashi, T.10
Hashimoto, K.11
Sebe, A.12
Okazaki, G.13
K. Satomi, K.14
Akamatsu, H.15
Shinohara, H.16
-
7
-
-
33947613119
-
A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits
-
DOI 10.1109/JSSC.2007.891648
-
S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T.Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y.Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, and H. Shinohara, "A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits," IEEE J. Solid-State Circuits, vol. 42, no. 4, pp. 820-829, Apr. 2007. (Pubitemid 46495399)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.4
, pp. 820-829
-
-
Ohbayashi, S.1
Yabuuchi, M.2
Nil, K.3
Tsukamoto, Y.4
Imaoka, S.5
Oda, Y.6
Yoshihara, T.7
Igarashi, M.8
Takeuchi, M.9
Kawashima, H.10
Yamaguchi, Y.11
Tsukamoto, K.12
Inuishi, M.13
Makino, H.14
Ishibashi, K.15
Shinohara, H.16
-
8
-
-
64549129929
-
Scaling of 32 nm low power SRAM with high-K metal gate
-
H. S. Yang, R. Wong, R. Hasumi, Y. Gao, N. S. Kim, D. H. Lee, S. Badrudduza, D. Nair, M. Ostermayr, H. Kang, H. Zhuang, J. Li, L. Kang, X. Chen, A. Thean, F. Arnaud, L. Zhuang, C. Schiller, D. P. Sun, Y. W. Teh, J. Wallner, Y. Takasu, K. Stein, S. Samavedam, D. Jaeger, C. V. Baiocco, M. Sherony, M. Khare, C. Lage, J. Pape, J. Sudijono, A. L. Steegen, and S. Stiffler, "Scaling of 32 nm low power SRAM with high-K metal gate," in Int. Electron Devices Meeting (IEDM) Tech. Dig., 2008, pp. 1-4.
-
(2008)
Int. Electron Devices Meeting (IEDM) Tech. Dig.
, pp. 1-4
-
-
Yang, H.S.1
Wong, R.2
Hasumi, R.3
Gao, Y.4
Kim, N.S.5
Lee, D.H.6
Badrudduza, S.7
Nair, D.8
Ostermayr, M.9
Kang, H.10
Zhuang, H.11
Li, J.12
Kang, L.13
Chen, X.14
Thean, A.15
Arnaud, F.16
Zhuang, L.17
Schiller, C.18
Sun, D.P.19
Teh, Y.W.20
Wallner, J.21
Takasu, Y.22
Stein, K.23
Samavedam, S.24
Jaeger, S.D.25
Baiocco, C.V.26
Sherony, M.27
Khare, M.28
Lage, C.29
Pape, J.30
Sudijono, J.31
Steegen, A.L.32
Stiffler, S.33
more..
-
9
-
-
41549147301
-
A sub-600 mV, fluctuation tolerant 65- nm CMOS SRAM array with dynamic cell biasing
-
Apr.
-
A. Bhavnagarwala, S. Kosonocky, C. Radens, Y. Chan, K. Stawiasz, U. Srinivasan, S. P. Kowalczyk, and M. M. Ziegler, "A sub-600 mV, fluctuation tolerant 65- nm CMOS SRAM array with dynamic cell biasing," IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 946-955, Apr. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.4
, pp. 946-955
-
-
Bhavnagarwala, A.1
Kosonocky, S.2
Radens, C.3
Chan, Y.4
Stawiasz, K.5
Srinivasan, U.6
Kowalczyk, S.P.7
Ziegler, M.M.8
-
10
-
-
52249106671
-
A stable 2-port SRAM cell design against simultaneously read/writedisturbed accesses
-
Sep.
-
T. Suzuki, H. Yamauchi, Y. Yamagami, and K. S. Akamatsu, "A stable 2-port SRAM cell design against simultaneously read/writedisturbed accesses," IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 2109-2119, Sep. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.9
, pp. 2109-2119
-
-
Suzuki, T.1
Yamauchi, H.2
Yamagami, Y.3
Akamatsu, K.S.4
-
11
-
-
67349170387
-
Implementation of low-voltage static RAM with enhance data stability and circuit speed
-
Y. Chung and S.-H. Song, "Implementation of low-voltage static RAM with enhance data stability and circuit speed," Microelectronics J., vol. 40, pp. 944-951, 2009.
-
(2009)
Microelectronics J.
, vol.40
, pp. 944-951
-
-
Chung, Y.1
Song, S.-H.2
-
12
-
-
51949088226
-
A 0.6 V 45 nm adaptive dual-rail SRAM compiler circuit design for lower VDD min VLSIs
-
Y. H. Chen, W. M. Chan, S. Y. Chou, H. J. Liao, H. Y. Pan, J. J. Wu, C. H. Lee, S. M. Yang, Y. C. Liu, and H. Yamauchi, "A 0.6 V 45 nm adaptive dual-rail SRAM compiler circuit design for lower VDD min VLSIs," in Symp. VLSI Circuits Dig. Tech. Papers, 2008, pp. 210-211.
-
(2008)
Symp. VLSI Circuits Dig. Tech. Papers
, pp. 210-211
-
-
Chen, Y.H.1
Chan, W.M.2
Chou, S.Y.3
Liao, H.J.4
Pan, H.Y.5
Wu, J.J.6
Lee, C.H.7
Yang, S.M.8
Liu, Y.C.9
Yamauchi, H.10
-
13
-
-
49749117878
-
Cache design for low power and high yield
-
B. Mohammad, M. Saint-Laurent, P. Bassett, and J. Abraham, "Cache design for low power and high yield," in Proc. 9th Int. Symp. Quality Electronic Design (ISQED), 2008, pp. 103-107.
-
(2008)
Proc. 9th Int. Symp. Quality Electronic Design (ISQED)
, pp. 103-107
-
-
Mohammad, B.1
Saint-Laurent, M.2
Bassett, P.3
Abraham, J.4
-
14
-
-
63449132966
-
A 0.7 V single-supply SRAM with 0.495 μm P2P cell in 65 nm technology utilizing self-write-back sense amplifier and cascaded bit line scheme,"
-
Apr.
-
K. Kushida, A. Suzuki, G. Fukano, A. Kawasumi, O. Hirabayashi, Y. Takeyama, T. Sasaki, A. Katayama, Y. Fujimura, and T. Yabe, "A 0.7 V single-supply SRAM with 0.495 μm P2P cell in 65 nm technology utilizing self-write-back sense amplifier and cascaded bit line scheme," IEEE J.Solid-State Circuits, vol. 44, no. 4, pp. 1192-1198, Apr. 2009.
-
(2009)
IEEE J.Solid-State Circuits
, vol.44
, Issue.4
, pp. 1192-1198
-
-
Kushida, K.1
Suzuki, A.2
Fukano, G.3
Kawasumi, A.4
Hirabayashi, O.5
Takeyama, Y.6
T. Sasaki, Y.7
Katayama, A.8
Fujimura, Y.9
Yabe, T.10
-
15
-
-
77952207400
-
PVT &aging adaptive word-line boosting for 8T SRAM power reduction
-
A. Raychowdhury, B. Geuskens, J. Kulkarni, J. Tschanz, K. Bowman, T. Karnik, S.-L. Lu, V. De, and M. M. Khellah, "PVT &aging adaptive word-line boosting for 8T SRAM power reduction," in IEEE ISSCC Dig. Tech. Papers, 2010, pp. 352-353.
-
(2010)
IEEE ISSCC Dig. Tech. Papers
, pp. 352-353
-
-
Raychowdhury, A.1
Geuskens, B.2
Kulkarni, J.3
Tschanz, J.4
Bowman, K.5
Karnik, T.6
Lu, S.-L.7
De, V.8
Khellah, M.M.9
-
16
-
-
85008054031
-
A 256 kb 65 nm 8T subthresold SRAM employing sense-amplifier redundancy
-
Jan.
-
N. Verma and A. P. Chandrakasan, "A 256 kb 65 nm 8T subthresold SRAM employing sense-amplifier redundancy," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 141-149, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 141-149
-
-
Verma, N.1
Chandrakasan, A.P.2
-
17
-
-
49549103577
-
A 32 kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
-
I. J. Chang, J. J. Kim, S. P. Park, and K. Roy, "A 32 kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS," in IEEE ISSCC Dig. Tech. Papers, 2008, pp. 388-622.
-
(2008)
IEEE ISSCC Dig. Tech. Papers
, pp. 388-622
-
-
Chang, I.J.1
Kim, J.J.2
Park, S.P.3
Roy, K.4
-
18
-
-
34548830136
-
A sub-200 mV 6T SRAM in 0.13 μm CMOS
-
B. Zhai, D. Blaauw, D. Sylvester, and S. Hanson, "A sub-200 mV 6T SRAM in 0.13 μm CMOS," in IEEE ISSCC Dig. Tech. Papers, 2007, pp. 332-606.
-
(2007)
IEEE ISSCC Dig. Tech. Papers
, pp. 332-606
-
-
Zhai, B.1
Blaauw, D.2
Sylvester, D.3
Hanson, S.4
-
19
-
-
11944262768
-
On-die droop detector for analog sensing of power supply noise
-
Apr.
-
A. Muhtaroglu, G. Taylor, and T. R. Arabi, "On-die droop detector for analog sensing of power supply noise," IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 651-660, Apr. 2004.
-
(2004)
IEEE J. Solid-State Circuits
, vol.39
, Issue.4
, pp. 651-660
-
-
Muhtaroglu, A.1
Taylor, G.2
Arabi, T.R.3
-
20
-
-
31344469393
-
A 90-nm variable frequency clock system for a power-managed itanium architecture processor
-
DOI 10.1109/JSSC.2005.859879
-
T. Fischer, J. Desai, B. Doyle, S. Naffziger, and B. Patella, "A 90-nm variable frequency clock system for a power-managed itanium architecture processor," IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 218-228, Jan. 2006. (Pubitemid 43145979)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 218-228
-
-
Fischer, T.1
Desai, J.2
Doyle, B.3
Naffziger, S.4
Patella, B.5
-
21
-
-
31344454872
-
Power and temperature control on a 90-nm Itanium family processor
-
DOI 10.1109/JSSC.2005.859902
-
R. McGowen et al., "Power and temperature control on a 90-nm itanium family processor," IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 229-237, Jan. 2006. (Pubitemid 43145980)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 229-237
-
-
McGowen, R.1
Poirier, C.A.2
Bostak, C.3
Ignowski, J.4
Millican, M.5
Parks, W.H.6
Naffziger, S.7
-
22
-
-
34548812547
-
Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging
-
J. Tschanz et al., "Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging," in IEEE ISSCC Dig. Tech. Papers, 2007, pp. 292-293.
-
(2007)
IEEE ISSCC Dig. Tech. Papers
, pp. 292-293
-
-
Tschanz, J.1
-
23
-
-
56849129583
-
Techniques to extend canary-based standby VDD scaling for SRAMs to 45 nm and beyond
-
Nov.
-
Wang et al., "Techniques to extend canary-based standby VDD scaling for SRAMs to 45 nm and beyond," IEEE J. Solid-State Circuits, vol. 43, no. 11, p. 2514, Nov. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.11
, pp. 2514
-
-
Wang1
-
24
-
-
58149267845
-
Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance
-
Jan.
-
K. A. Bowman et al., "Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance," IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 49-63, Jan. 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.1
, pp. 49-63
-
-
Bowman, K.A.1
-
25
-
-
70449563108
-
Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance
-
J. Tschanz et al., "Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance," in IEEE Symp. VLSI Circuits Dig. Tech. Papers, 2009, pp. 112-113.
-
(2009)
IEEE Symp. VLSI Circuits Dig. Tech. Papers
, pp. 112-113
-
-
Tschanz, J.1
-
26
-
-
77952226243
-
A 45 nm resilient and adaptive microprocessor core for dynamic variation tolerance
-
J. Tschanz et al., "A 45 nm resilient and adaptive microprocessor core for dynamic variation tolerance," in IEEE ISSCC Dig. Tech. Papers, 2010, pp. 282-283.
-
(2010)
IEEE ISSCC Dig. Tech. Papers
, pp. 282-283
-
-
Tschanz, J.1
-
27
-
-
50249185641
-
A 45 nm logic technology with high-k+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging
-
K. Mistry et al., "A 45 nm logic technology with high-k+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging," in IEEE IEDM Tech. Dig., 2007, pp. 247-250.
-
(2007)
IEEE IEDM Tech. Dig.
, pp. 247-250
-
-
Mistry, K.1
-
28
-
-
63449130377
-
™ micro-architecture (nehalem) clocking
-
Apr.
-
™ micro-architecture (nehalem) clocking," IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1121-1129, Apr. 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.4
, pp. 1121-1129
-
-
Kurd, N.1
P. Mosalikanti, N.2
Neidengard, M.3
Douglas, J.4
Kumar, R.5
|