-
1
-
-
0016313256
-
A comparison of list schedules for parallel processing systems
-
Dec.
-
T. L. Adam, K. M. Chandy, and J. R. Dickson, "A comparison of list schedules for parallel processing systems," Commun. ACM, vol. 17, no. 12, pp. 685-690, Dec. 1974.
-
(1974)
Commun. ACM
, vol.17
, Issue.12
, pp. 685-690
-
-
Adam, T.L.1
Chandy, K.M.2
Dickson, J.R.3
-
2
-
-
84976663189
-
Detecting equality of variables in programs
-
B. Alpern, W. Bowen, M. N. Wegman, and F. K. Zadeck, "Detecting equality of variables in programs," in Proc. PPL, 1988, pp. 1-11.
-
(1988)
Proc. PPL
, pp. 1-11
-
-
Alpern, B.1
Bowen, W.2
Wegman, M.N.3
Zadeck, F.K.4
-
3
-
-
78650647412
-
-
San Jose, CA: Cadence Design Systems, Jun.
-
B. Bailey, F. Balarin, M. McNamara, G. Mosenson, M. Stellfox, and Y. Watanabe, TLM-Driven Design and Verification Methodology. San Jose, CA: Cadence Design Systems, Jun. 2010.
-
(2010)
TLM-Driven Design and Verification Methodology
-
-
Bailey, B.1
Balarin, F.2
McNamara, M.3
Mosenson, G.4
Stellfox, M.5
Watanabe, Y.6
-
4
-
-
79953119688
-
The symbolic manipulation of computer descriptions: The ISPS computer description language
-
Carnegie-Mellon Univ., Pittsburgh, PA, Tech. Rep. Mar.
-
M. Barbacci, G. Barnes, R. Cattell, and D. Siewiorek, "The symbolic manipulation of computer descriptions: The ISPS computer description language," Dept. Comput. Sci., Carnegie-Mellon Univ., Pittsburgh, PA, Tech. Rep., Mar. 1978.
-
(1978)
Dept. Comput. Sci.
-
-
Barbacci, M.1
Barnes, G.2
Cattell, R.3
Siewiorek, D.4
-
5
-
-
0029220223
-
High-level synthesis in an industrial environment
-
Jan.
-
R. A. Bergamaschi, R. A. O'Connor, L. Stok, M. Z. Moricz, S. Prakash, A. Kuehlmann, and D. S. Rao, "High-level synthesis in an industrial environment," IBM J. Res. Develop., vol. 39, nos. 1-2, pp. 131-148, Jan. 1995.
-
(1995)
IBM J. Res. Develop.
, vol.39
, Issue.1-2
, pp. 131-148
-
-
Bergamaschi, R.A.1
O'Connor, R.A.2
Stok, L.3
Moricz, M.Z.4
Prakash, S.5
Kuehlmann, A.6
Rao, D.S.7
-
6
-
-
0027658998
-
The Siemens high-level synthesis system CALLAS
-
Sep.
-
J. Biesenack, M. Koster, A. Langmaier, S. Ledeux, S. Marz, M. Payer, M. Pilsl, S. Rumler, H. Soukup, N. Wehn, and P. Duzy, "The Siemens high-level synthesis system CALLAS," IEEE Trans. Very Large Scale Integr. Syst., vol. 1, no. 3, pp. 244-253, Sep. 1993.
-
(1993)
IEEE Trans. Very Large Scale Integr. Syst.
, vol.1
, Issue.3
, pp. 244-253
-
-
Biesenack, J.1
Koster, M.2
Langmaier, A.3
Ledeux, S.4
Marz, S.5
Payer, M.6
Pilsl, M.7
Rumler, S.8
Soukup, H.9
Wehn, N.10
Duzy, P.11
-
7
-
-
80054882920
-
Catapult synthesis: A practical introduction to interactive C synthesis
-
P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer
-
T. Bollaert, "Catapult synthesis: A practical introduction to interactive C synthesis," in High-Level Synthesis: From Algorithm to Digital Circuit, P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer, 2008.
-
(2008)
High-Level Synthesis: From Algorithm to Digital Circuit
-
-
Bollaert, T.1
-
8
-
-
79953096689
-
An ESL methodology for functional verification between untimed C++ and RTL using SystemC
-
D. Burnette, "An ESL methodology for functional verification between untimed C++ and RTL using SystemC," in Proc. DVCon, 2006.
-
(2006)
Proc. DVCon
-
-
Burnette, D.1
-
9
-
-
12844273425
-
Spatial computation
-
M. Budiu, G. Venkataramani, T. Chelcea, and S. Goldstein, "Spatial computation," in Proc. ASPLOS, 2004, pp. 14-26.
-
(2004)
Proc. ASPLOS
, pp. 14-26
-
-
Budiu, M.1
Venkataramani, G.2
Chelcea, T.3
Goldstein, S.4
-
10
-
-
0027002268
-
HYPER-LP: A system for power minimization using architectural transformations
-
A. Chandrakasan, M. Potkonjak, J. Rabaey, and R. Brodersen, "HYPER-LP: A system for power minimization using architectural transformations," in Proc. ICCAD, 1992, pp. 300-303. (Pubitemid 23615659)
-
(1992)
IEEE/ACM International Conference on Computer-Aided Design
, pp. 300-303
-
-
Chandrakasan Anantha, P.1
Potkonjak Miodrag2
Rabaey Jan3
Brodersen Robert, W.4
-
11
-
-
0024126674
-
Design process model in the Yorktown Silicon Compiler
-
R. Composano, "Design process model in the Yorktown Silicon Compiler," in Proc. DAC, 1988, pp. 489-494.
-
(1988)
Proc. DAC
, pp. 489-494
-
-
Composano, R.1
-
12
-
-
0025791177
-
Path-based scheduling for synthesis
-
Jan.
-
R. Composano, "Path-based scheduling for synthesis," IEEE Trans. Comput.-Aided Des., vol. 10, no. 1, pp. 85-93, Jan. 1991.
-
(1991)
IEEE Trans. Comput.-Aided Des.
, vol.10
, Issue.1
, pp. 85-93
-
-
Composano, R.1
-
13
-
-
78650151583
-
Lithographic aerial image simulation with FPGA-based hardware acceleration
-
Feb.
-
J. Cong and Y. Zou, "Lithographic aerial image simulation with FPGA-based hardware acceleration," in Proc. FPGA, Feb. 2008, pp. 20-29.
-
(2008)
Proc. FPGA
, pp. 20-29
-
-
Cong, J.1
Zou, Y.2
-
14
-
-
69949116729
-
The last byte: The HLS tipping point
-
Jul.-Aug.
-
J. Cong and W. Rosenstiel, "The last byte: The HLS tipping point," IEEE Des. Test Comput., vol. 26, no. 4, p. 104, Jul.-Aug. 2009.
-
(2009)
IEEE Des. Test Comput.
, vol.26
, Issue.4
, pp. 104
-
-
Cong, J.1
Rosenstiel, W.2
-
15
-
-
34547187799
-
An efficient and versatile scheduling algorithm based on SDC formulation
-
DOI 10.1145/1146909.1147025, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
J. Cong and Z. Zhang, "An efficient and versatile scheduling algorithm based on SDC formulation," in Proc. DAC, 2006, pp. 433-438. (Pubitemid 47113937)
-
(2006)
Proceedings - Design Automation Conference
, pp. 433-438
-
-
Cong, J.1
Zhang, Z.2
-
16
-
-
63349100795
-
Pattern-based behavior synthesis for FPGA resource reduction
-
Feb.
-
J. Cong and W. Jiang, "Pattern-based behavior synthesis for FPGA resource reduction," in Proc. FPGA, Feb. 2008, pp. 107-116.
-
(2008)
Proc. FPGA
, pp. 107-116
-
-
Cong, J.1
Jiang, W.2
-
17
-
-
43749087793
-
Platform-based behavior-level and system-level synthesis
-
DOI 10.1109/SOCC.2006.283880, 4063049, 2006 IEEE International Systems-on-Chip Conference, SOC
-
J. Cong, Y. Fan, G. Han, W. Jiang, and Z. Zhang, "Platform-based behavior-level and system-level synthesis," in Proc. IEEE Int. SoC Conf., Sep. 2006, pp. 199-202. (Pubitemid 351688708)
-
(2007)
2006 IEEE International Systems-on-Chip Conference, SOC
, pp. 199-202
-
-
Cong, J.1
Fan, Y.2
Han, G.3
Jiang, W.4
Zhang, Z.5
-
18
-
-
77953108580
-
A generalized control-flow-aware pattern recognition algorithm for behavioral synthesis
-
J. Cong, H. Huang, and W. Jiang, "A generalized control-flow-aware pattern recognition algorithm for behavioral synthesis," in Proc. DATE, 2010, pp. 1255-1260.
-
(2010)
Proc. DATE
, pp. 1255-1260
-
-
Cong, J.1
Huang, H.2
Jiang, W.3
-
19
-
-
76349122658
-
Automatic memory partitioning and scheduling for throughput and power optimization
-
Nov.
-
J. Cong, W. Jiang, B. Liu, and Y. Zou, "Automatic memory partitioning and scheduling for throughput and power optimization," in Proc. ICCAD, Nov. 2009, pp. 697-704.
-
(2009)
Proc. ICCAD
, pp. 697-704
-
-
Cong, J.1
Jiang, W.2
Liu, B.3
Zou, Y.4
-
20
-
-
76349089156
-
Scheduling with soft constraints
-
Nov.
-
J. Cong, B. Liu, and Z. Zhang, "Scheduling with soft constraints," in Proc. ICCAD, Nov. 2009, pp. 47-54.
-
(2009)
Proc. ICCAD
, pp. 47-54
-
-
Cong, J.1
Liu, B.2
Zhang, Z.3
-
21
-
-
74349127428
-
Evaluation of static analysis techniques for fixed-point precision optimization
-
Apr.
-
J. Cong, K. Gururaj, B. Liu, C. Liu, Z. Zhang, S. Zhou, and Y. Zou, "Evaluation of static analysis techniques for fixed-point precision optimization," in Proc. FCCM, Apr. 2009, pp. 231-234.
-
(2009)
Proc. FCCM
, pp. 231-234
-
-
Cong, J.1
Gururaj, K.2
Liu, B.3
Liu, C.4
Zhang, Z.5
Zhou, S.6
Zou, Y.7
-
22
-
-
79953656477
-
Customizable domainspecific computing
-
Mar.-Apr., to be published
-
J. Cong, V. Sarkar, G. Reinman, and A. Bui, "Customizable domainspecific computing," IEEE Des. Test Comput., Mar.-Apr. 2011, to be published.
-
(2011)
IEEE Des. Test Comput.
-
-
Cong, J.1
Sarkar, V.2
Reinman, G.3
Bui, A.4
-
23
-
-
71949117149
-
GAUT: A high-level synthesis tool for DSP applications
-
P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer
-
P. Coussy, C. Chavet, P. Bomel, D. Heller, E. Senn, and E. Martin, "GAUT: A high-level synthesis tool for DSP applications," in High- Level Synthesis: From Algorithm to Digital Circuit, P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer, 2008.
-
(2008)
High-Level Synthesis: From Algorithm to Digital Circuit
-
-
Coussy, P.1
Chavet, C.2
Bomel, P.3
Heller, D.4
Senn, E.5
Martin, E.6
-
24
-
-
0026243790
-
Efficiently computing static single assignment form and the control dependence graph
-
Oct.
-
R. Cytron, J. Ferrante, B. Rosen, M. Wegman, and K. Zadeck, "Efficiently computing static single assignment form and the control dependence graph," ACM Trans. Program. Languages Syst., vol. 13, no. 4, pp. 451-490, Oct. 1991.
-
(1991)
ACM Trans. Program. Languages Syst.
, vol.13
, Issue.4
, pp. 451-490
-
-
Cytron, R.1
Ferrante, J.2
Rosen, B.3
Wegman, M.4
Zadeck, K.5
-
25
-
-
0025505443
-
The Olympus synthesis system
-
Oct.
-
G. De Micheli, D. Ku, F. Mailhot, and T. Truong, "The Olympus synthesis system," IEEE Des. Test Comput., vol. 7, no. 5, pp. 37-53, Oct. 1990.
-
(1990)
IEEE Des. Test Comput.
, vol.7
, Issue.5
, pp. 37-53
-
-
De Micheli, G.1
Ku, D.2
Mailhot, F.3
Truong, T.4
-
26
-
-
0024133187
-
HERCULES: A system for high-level synthesis
-
G. De Micheli and D. Ku, "HERCULES: A system for high-level synthesis," in Proc. DAC, 1988, pp. 483-488.
-
(1988)
Proc. DAC
, pp. 483-488
-
-
De Micheli, G.1
Ku, D.2
-
27
-
-
0022914434
-
Cathedral- II: A silicon compiler for digital signal processing
-
H. De Man, J. Rabaey, J. Vanhoof, P. Six, and L. Claesen, "Cathedral- II: A silicon compiler for digital signal processing," IEEE Des. Test Comput., vol. 3, no. 6, pp. 13-25, Dec. 1986. (Pubitemid 17517322)
-
(1986)
IEEE Design and Test of Computers
, vol.3
, Issue.6
, pp. 13-25
-
-
De Man Hugo1
Rabaey Jab2
Six, P.3
Claesen Luc, J.M.4
-
28
-
-
70449955965
-
Using C-to-gates to program streaming image processing kernels efficiently on FPGAs
-
K. Denolf, S. Neuendorffer, and K. Vissers, "Using C-to-gates to program streaming image processing kernels efficiently on FPGAs," in Proc. FPL, 2009, pp. 626-630.
-
(2009)
Proc. FPL
, pp. 626-630
-
-
Denolf, K.1
Neuendorffer, S.2
Vissers, K.3
-
29
-
-
79953106055
-
FPGA implementation of a near-ML sphere detector for 802.16e broadband wireless systems
-
Dec.
-
C. Dick, M. Trajkovic, S. Denic, D. Vuletic, R. Rao, F. Harris, and K. Amiri, "FPGA implementation of a near-ML sphere detector for 802.16e broadband wireless systems," in Proc. SDR Tech. Conf., Dec. 2009.
-
(2009)
Proc. SDR Tech. Conf.
-
-
Dick, C.1
Trajkovic, M.2
Denic, S.3
Vuletic, D.4
Rao, R.5
Harris, F.6
Amiri, K.7
-
30
-
-
0019592212
-
A design methodology and computer aids for digital VLSI
-
Jul.
-
S. Director, A. Parker, D. Siewiorek, and D. Thomas, Jr., "A design methodology and computer aids for digital VLSI," IEEE Trans. Circuits Syst., vol. 28, no. 7, pp. 634-645, Jul. 1982.
-
(1982)
IEEE Trans. Circuits Syst.
, vol.28
, Issue.7
, pp. 634-645
-
-
Director, S.1
Parker, A.2
Siewiorek, D.3
Thomas, Jr.D.4
-
31
-
-
4444309339
-
High-level synthesis from the synchronous language Esterel
-
S. A. Edwards, "High-level synthesis from the synchronous language Esterel," in Proc. IWLS, 2002.
-
(2002)
Proc. IWLS
-
-
Edwards, S.A.1
-
32
-
-
33947148542
-
The challenges of synthesizing hardware from C-like languages
-
DOI 10.1109/MDT.2006.134
-
S. A. Edwards, "The challenges of synthesizing hardware from C-like Languages," IEEE Des. Test Comput., vol. 23, no. 5, pp. 375-386, Sep. 2006. (Pubitemid 46405570)
-
(2006)
IEEE Design and Test of Computers
, vol.23
, Issue.5
, pp. 375-386
-
-
Edwards, S.A.1
-
33
-
-
40949098178
-
The promise of high-performance reconfigurable computing
-
Feb.
-
T. El-Ghazawi, E. El-Araby, M. Huang, K. Gaj, V. Kindratenko, and D. Buell, "The promise of high-performance reconfigurable computing," IEEE Comput., vol. 41, no. 2, pp. 69-76, Feb. 2008.
-
(2008)
IEEE Comput.
, vol.41
, Issue.2
, pp. 69-76
-
-
El-Ghazawi, T.1
El-Araby, E.2
Huang, M.3
Gaj, K.4
Kindratenko, V.5
Buell, D.6
-
35
-
-
0003652206
-
-
Norwell, MA: Kluwer
-
D. Gajski, J. Zhu, R. Dömer, A. Gerstlauer, and S. Zhao, SpecC: Specification Language and Methodology. Norwell, MA: Kluwer, 2000.
-
(2000)
SpecC: Specification Language and Methodology
-
-
Gajski, D.1
Zhu, J.2
Dömer, R.3
Gerstlauer, A.4
Zhao, S.5
-
37
-
-
84949813785
-
Stream-oriented FPGA computing in the Streams-C high level language
-
M. Gokhale, J. Stone, J. Arnold, and M. Kalinowski, "Stream-oriented FPGA computing in the Streams-C high level language," in Proc. FCCM, 2000, pp. 49-56.
-
(2000)
Proc. FCCM
, pp. 49-56
-
-
Gokhale, M.1
Stone, J.2
Arnold, J.3
Kalinowski, M.4
-
39
-
-
33749573490
-
Rapid industrial prototyping and SoC design of 3G/4G wireless systems using an HLS methodology
-
DOI 10.1155/ES/2006/14952, PII S1687395506149528, 14952
-
Y. Guo, D. McCain, J. R. Cavallaro, and A. Takach, "Rapid industrial prototyping and SoC design of 3 G/4G wireless systems using an HLS methodology," EURASIP J. Embedded Syst., vol. 2006, no. 1, pp. 1-25, Jan. 2006. (Pubitemid 44529598)
-
(2006)
Eurasip Journal of Embedded Systems
, vol.2006
, pp. 1-25
-
-
Guo, Y.1
McCain, D.2
Cavallaro, J.R.3
Takach, A.4
-
40
-
-
52549087528
-
A compiler intermediate representation for reconfigurable fabrics
-
Oct.
-
Z. Guo, B. Buyukkurt, J. Cortes, A. Mitra, and W. Najjar, "A compiler intermediate representation for reconfigurable fabrics," Int. J. Parallel Program., vol. 36, no. 5, pp. 493-520, Oct. 2008.
-
(2008)
Int. J. Parallel Program.
, vol.36
, Issue.5
, pp. 493-520
-
-
Guo, Z.1
Buyukkurt, B.2
Cortes, J.3
Mitra, A.4
Najjar, W.5
-
41
-
-
30744468841
-
Coordinated parallelizing compiler optimizations and high-level synthesis
-
DOI 10.1145/1027084.1027087
-
S. Gupta, R. Gupta, N. Dutt, and A. Nicolau, "Coordinated parallelizing compiler optimizations and high-level synthesis," ACM Trans. Des. Automat. Electron. Syst., vol. 9, no. 4, pp. 441-470, Oct. 2004. (Pubitemid 43093208)
-
(2004)
ACM Transactions on Design Automation of Electronic Systems
, vol.9
, Issue.4
, pp. 441-470
-
-
Gupta, S.1
Gupta, R.K.2
Dutt, N.D.3
Nicolau, A.4
-
42
-
-
30744435961
-
-
Heidelberg, Germany: Springer
-
S. Gupta, R. Gupta, N. Dutt, and A. Nicolau, SPARK: A Parallelizing Approach to the High-Level Synthesis of Digital Circuits. Heidelberg, Germany: Springer, 2004.
-
(2004)
SPARK: A Parallelizing Approach to the High-Level Synthesis of Digital Circuits
-
-
Gupta, S.1
Gupta, R.2
Dutt, N.3
Nicolau, A.4
-
43
-
-
0035211908
-
A system for synthesizing optimized FPGA hardware from MATLAB
-
M. Haldar, A. Nayak, A. Choudhary, and P. Banerjee, "A system for synthesizing optimized FPGA hardware from MATLAB," in Proc. ICCAD, 2001, pp. 314-319. (Pubitemid 33148976)
-
(2001)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers
, pp. 314-319
-
-
Haldar, M.1
Nayak, A.2
Choudhary, A.3
Banerjee, P.4
-
44
-
-
0027929107
-
Application of high-level synthesis in an industrial project
-
A. Hemani, B. Karlsson, M. Fredriksson, K. Nordqvist, and B. Fjellborg, "Application of high-level synthesis in an industrial project," in Proc. VLSI Des., 1994, pp. 5-10.
-
(1994)
Proc. VLSI Des.
, pp. 5-10
-
-
Hemani, A.1
Karlsson, B.2
Fredriksson, M.3
Nordqvist, K.4
Fjellborg, B.5
-
45
-
-
0018005391
-
Communicating sequential processes
-
Aug.
-
C. A. R. Hoare, "Communicating sequential processes," Commun. ACM, vol. 21, no. 8, pp. 666-677, Aug. 1978.
-
(1978)
Commun. ACM
, vol.21
, Issue.8
, pp. 666-677
-
-
Hoare, C.A.R.1
-
46
-
-
0026139605
-
A formal approach to the scheduling problem in high-level synthesis
-
Apr.
-
C.-T. Hwang, J.-H. Lee, and Y.-C. Hsu, "A formal approach to the scheduling problem in high-level synthesis," IEEE Trans. Comput.- Aided Des., vol. 10, no. 4, pp. 464-475, Apr. 1991.
-
(1991)
IEEE Trans. Comput.-Aided Des.
, vol.10
, Issue.4
, pp. 464-475
-
-
Hwang, C.-T.1
Lee, J.-H.2
Hsu, Y.-C.3
-
47
-
-
0024887707
-
Experience with ADAM synthesis system
-
R. Jain, K. Kucukcakar, M. J. Mlinar, and A. C. Parker, "Experience with ADAM synthesis system," in Proc. DAC, 1989, pp. 56-61.
-
(1989)
Proc. DAC
, pp. 56-61
-
-
Jain, R.1
Kucukcakar, K.2
Mlinar, M.J.3
Parker, A.C.4
-
48
-
-
0024133189
-
Module selection for pipelined synthesis
-
R. Jain, A. Parker, and N. Park, "Module selection for pipelined synthesis," in Proc. DAC, 1988, pp. 542-547.
-
(1988)
Proc. DAC
, pp. 542-547
-
-
Jain, R.1
Parker, A.2
Park, N.3
-
49
-
-
0000087207
-
The semantics of a simple language for parallel programming
-
Aug.
-
G. Kahn, "The semantics of a simple language for parallel programming," in Proc. IFIP Congr. Inform. Process., Aug. 1974, pp. 471-475.
-
(1974)
Proc. IFIP Congr. Inform. Process.
, pp. 471-475
-
-
Kahn, G.1
-
50
-
-
0036715136
-
PICO: Automatically designing custom computers
-
DOI 10.1109/MC.2002.1033026
-
V. Kathail, S. Aditya, R. Schreiber, B. R. Rau, D. C. Cronquist, and M. Sivaraman, "PICO: Automatically designing custom computers," IEEE Comput., vol. 35, no. 9, pp. 39-47, Sep. 2002. (Pubitemid 35019808)
-
(2002)
Computer
, vol.35
, Issue.9
, pp. 39-47
-
-
Kathail, V.1
Aditya, S.2
Schreiber, R.3
Rau, B.R.4
Cronquist, D.C.5
Sivaraman, M.6
-
52
-
-
0034428118
-
System-level design: Orthogonalization of concerns and platform-based design
-
DOI 10.1109/43.898830
-
K. Keutzer, S. Malik, A. R. Newton, J. Rabaey, and A. Sangiovanni- Vincentelli, "System level design: Orthogonalization of concerns and platform-based design," IEEE Trans. Comput.-Aided Des., vol. 19, no. 12, pp. 1523-1543, Dec. 2000. (Pubitemid 32870317)
-
(2000)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.19
, Issue.12
, pp. 1523-1543
-
-
Keutzer Kurt1
Malik Sharad2
Newton A.Richard3
Rabaey Jan, M.4
Sangiovanni-Vincentelli, A.5
-
53
-
-
74349091996
-
Accelerating cosmological data analysis with FPGAs
-
V. Kindratenko and R. Brunner, "Accelerating cosmological data analysis with FPGAs," in Proc. FCCM, 2009, pp. 11-18.
-
(2009)
Proc. FCCM
, pp. 11-18
-
-
Kindratenko, V.1
Brunner, R.2
-
54
-
-
70350055220
-
Solver technology for system-level to RTL equivalence checking
-
A. Kölbl, R. Jacoby, H. Jain, and C. Pixley, "Solver technology for system-level to RTL equivalence checking," in Proc. DATE, 2009, pp. 196-201.
-
(2009)
Proc. DATE
, pp. 196-201
-
-
Kölbl, A.1
Jacoby, R.2
Jain, H.3
Pixley, C.4
-
55
-
-
0007772443
-
HardwareC: A language for hardware design (version 2.0)
-
Stanford Univ., Stanford, CA, Tech. Rep. CSL-TR-90-419
-
D. Ku and G. De Micheli, "HardwareC: A language for hardware design (version 2.0)," Comput. Syst. Lab., Stanford Univ., Stanford, CA, Tech. Rep. CSL-TR-90-419, 1990.
-
(1990)
Comput. Syst. Lab.
-
-
Ku, D.1
De Micheli, G.2
-
56
-
-
0026401349
-
Constrained resource sharing and conflict resolution in Hebe
-
D. Ku and G. De Mecheli, "Constrained resource sharing and conflict resolution in Hebe," Integr., VLSI J., vol. 12, no. 2, pp. 131-165, 1991.
-
(1991)
Integr., VLSI J.
, vol.12
, Issue.2
, pp. 131-165
-
-
Ku, D.1
De Mecheli, G.2
-
58
-
-
0023174393
-
REAL: A program for register allocation
-
F. J. Kurdahi and A. C. Parker, "REAL: A program for register allocation," in Proc. DAC, 1987, pp. 210-215.
-
(1987)
Proc. DAC
, pp. 210-215
-
-
Kurdahi, F.J.1
Parker, A.C.2
-
59
-
-
0032050859
-
Matisse: An architectural design tool for commodity ICs
-
K. Küçükçakar, C.-T. Chen, J. Gong, W. Philipsen, and T. E. Tkacik, "Matisse: An architectural design tool for commodity ICs," IEEE Des. Test Comput., vol. 15, no. 2, pp. 22-33, Apr.-Jun. 1998. (Pubitemid 128570607)
-
(1998)
IEEE Design and Test of Computers
, vol.15
, Issue.2
, pp. 22-33
-
-
Kucukcakar, K.1
Chen, C.-T.2
Gong, J.3
Philipsen, W.4
Tkacik, T.E.5
-
60
-
-
3042658703
-
LLVM: A compilation framework for lifelong program analysis and transformation
-
C. Lattner and V. Adve, "LLVM: A compilation framework for lifelong program analysis and transformation," in Proc. CGO, 2004, pp. 75-86.
-
(2004)
Proc. CGO
, pp. 75-86
-
-
Lattner, C.1
Adve, V.2
-
61
-
-
84939698077
-
Synchronous data flow
-
Sep.
-
E. A. Lee and D. G. Messerschmitt, "Synchronous data flow," Proc. IEEE, vol. 75, no. 9, pp. 1235-1245, Sep. 1987.
-
(1987)
Proc. IEEE
, vol.75
, Issue.9
, pp. 1235-1245
-
-
Lee, E.A.1
Messerschmitt, D.G.2
-
62
-
-
0027042648
-
PHIDEO: A silicon compiler for high speed algorithms
-
P. E. R. Lippens, J. L. van Meerbergen, A. van der Werf, W. F. J. Verhaegh, B. T. McSweeney, J. O. Huisken, and O. P. McArdle, "PHIDEO: A silicon compiler for high speed algorithms," in Proc. EDAC, 1991, pp. 436-441.
-
(1991)
Proc. EDAC
, pp. 436-441
-
-
Lippens, P.E.R.1
Van Meerbergen, J.L.2
Van Der Werf, A.3
Verhaegh, W.F.J.4
McSweeney, B.T.5
Huisken, J.O.6
McArdle, O.P.7
-
63
-
-
0021177490
-
MIMOLA design system: Tools for the design of digital processors
-
P. Marwedel, "The MIMOLA design system: Tools for the design of digital processors," in Proc. DAC, 1984, pp. 587-593. (Pubitemid 14597948)
-
(1984)
Proceedings - Design Automation Conference
, pp. 587-593
-
-
Marwedel Peter1
-
64
-
-
70049100633
-
Functional equivalence verification tools in high-level synthesis flows
-
Dec.
-
A. Mathur, M. Fujita, E. Clarke, and P. Urard, "Functional equivalence verification tools in high-level synthesis flows," IEEE Des. Test Comput., vol. 26, no. 4, pp. 88-95, Dec. 2009.
-
(2009)
IEEE Des. Test Comput.
, vol.26
, Issue.4
, pp. 88-95
-
-
Mathur, A.1
Fujita, M.2
Clarke, E.3
Urard, P.4
-
65
-
-
33748123946
-
ASC: A stream compiler for computing with FPGAs
-
DOI 10.1109/TCAD.2005.857377, 1673737
-
O. Mencer, "ASC: A stream compiler for computing with FPGAs," IEEE Trans. Comput.-Aided Des., vol. 25, no. 9, pp. 1603-1617, Sep. 2006. (Pubitemid 44304092)
-
(2006)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.25
, Issue.9
, pp. 1603-1617
-
-
Mencer, O.1
-
66
-
-
77951578298
-
High-level SystemC synthesis with Forte's Cynthesizer
-
P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer
-
M. Meredith, "High-level SystemC synthesis with Forte's Cynthesizer," in High-Level Synthesis: From Algorithm to Digital Circuit, P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer, 2008.
-
(2008)
High-Level Synthesis: From Algorithm to Digital Circuit
-
-
Meredith, M.1
-
67
-
-
50649107492
-
Streaming systems in FPGAS
-
Jul.
-
S. Neuendorffer and K. Vissers, "Streaming systems in FPGAS," in Proc. SAMOS Workshop, vol. 5114. Jul. 2008, pp. 147-156.
-
(2008)
Proc. SAMOS Workshop
, vol.5114
, pp. 147-156
-
-
Neuendorffer, S.1
Vissers, K.2
-
68
-
-
79953091576
-
Sphere detector for 802.16e broadband wireless systems implementation on FPGAs using high-level synthesis tools
-
Nov.
-
J. Noguera, S. Neuendorffer, S. Van Haastregt, J. Barba, K. Vissers, and C. Dick, "Sphere detector for 802.16e broadband wireless systems implementation on FPGAs using high-level synthesis tools," in Proc. SDR Forum, Nov. 2010.
-
(2010)
Proc. SDR Forum
-
-
Noguera, J.1
Neuendorffer, S.2
Van Haastregt, S.3
Barba, J.4
Vissers, K.5
Dick, C.6
-
69
-
-
70350752429
-
FCUDA: Enabling efficient compilation of CUDA kernels onto FPGAs
-
Jul.
-
A. Papakonstantinou, K. Gururaj, J. Stratton, D. Chen, J. Cong, and W. M. Hwu, "FCUDA: Enabling efficient compilation of CUDA kernels onto FPGAs," in Proc. IEEE Symp. Applicat. Specific Process., Jul. 2009, pp. 35-42.
-
(2009)
Proc. IEEE Symp. Applicat. Specific Process.
, pp. 35-42
-
-
Papakonstantinou, A.1
Gururaj, K.2
Stratton, J.3
Chen, D.4
Cong, J.5
Hwu, W.M.6
-
70
-
-
84891798825
-
Sehwa: A program for synthesis of pipelines
-
N. Park and A. Parker, "Sehwa: A program for synthesis of pipelines," in Proc. DAC, 1986, pp. 595-601.
-
(1986)
Proc. DAC
, pp. 595-601
-
-
Park, N.1
Parker, A.2
-
71
-
-
85050214309
-
MAHA: A program for datapath synthesis
-
A. Parker, J. T. Pizarro, and M. Mlinar, "MAHA: A program for datapath synthesis," in Proc. DAC, 1986, pp. 461-466.
-
(1986)
Proc. DAC
, pp. 461-466
-
-
Parker, A.1
Pizarro, J.T.2
Mlinar, M.3
-
72
-
-
0018296285
-
-
A. Parker, D. Thomas, D. Siewiorek, M. Barbacci, L. Hafer, G. Leive, and J. Kim, "The CMU design automation system: An example of automated data path design," in Proc. DAC, 1979, pp. 73-80. (Pubitemid 9481335)
-
(1979)
CMU Design Automation System: An Example of Automated Data Path Design
, pp. 73-80
-
-
Parker, A.1
Thomas, D.2
Siewiorek, D.3
Barbacci, M.4
Hafer, L.5
Leive, G.6
Kim, J.7
-
73
-
-
85027182885
-
HAL: A multi-paradigm approach to automatic data path synthesis
-
P. G. Paulin. J. P. Knight, and E. F. Girczyc, "HAL: A multi-paradigm approach to automatic data path synthesis," in Proc. DAC, 1986, pp. 263-270.
-
(1986)
Proc. DAC
, pp. 263-270
-
-
Paulin, P.G.1
Knight, J.P.2
Girczyc, E.F.3
-
74
-
-
0024682923
-
Force-directed scheduling for the behavioral synthesis of ASICs
-
Jun.
-
P. G. Paulin and J. P. Knight, "Force-directed scheduling for the behavioral synthesis of ASICs," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 8, no. 6, pp. 661-678, Jun. 1989.
-
(1989)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
, vol.8
, Issue.6
, pp. 661-678
-
-
Paulin, P.G.1
Knight, J.P.2
-
76
-
-
49349092279
-
Implementing legacy-C algorithms in FPGA co-processors for performance accelerated smart payloads
-
Mar.
-
P. J. Pingree, L. J. Scharenbroich, T. A. Werne, and C. M. Hartzell, "Implementing legacy-C algorithms in FPGA co-processors for performance accelerated smart payloads," in Proc. IEEE Aerospace Conf., Mar. 2008, pp. 1-8.
-
(2008)
Proc. IEEE Aerospace Conf.
, pp. 1-8
-
-
Pingree, P.J.1
Scharenbroich, L.J.2
Werne, T.A.3
Hartzell, C.M.4
-
77
-
-
70450265818
-
Performance and power of cache-based reconfigurable computing
-
A. Putnam, S. Eggers, D. Bennett, E. Dellinger, J. Mason, H. Styles, P. Sundararajan, and R. Wittig, "Performance and power of cache-based reconfigurable computing," in Proc. ISCA, 2009, pp. 395-405.
-
(2009)
Proc. ISCA
, pp. 395-405
-
-
Putnam, A.1
Eggers, S.2
Bennett, D.3
Dellinger, E.4
Mason, J.5
Styles, H.6
Sundararajan, P.7
Wittig, R.8
-
78
-
-
0026172137
-
Fast prototyping of datapath-intensive architectures
-
DOI 10.1109/54.82037
-
J. Rabaey, C. Chu, P. Hoang, and M. Potkonjak, "Fast prototyping of datapath-intensive architectures," IEEE Des. Test, vol. 8, no. 2, pp. 40-51, Apr. 1991. (Pubitemid 21661565)
-
(1991)
IEEE Design and Test of Computers
, vol.8
, Issue.2
, pp. 40-51
-
-
Rabaey, J.M.1
Chu, C.2
Hoang, P.3
Potkonjak, M.4
-
79
-
-
85008025325
-
A different view: Hardware synthesis from SystemC is a maturing technology
-
Sep.
-
J. Sanguinetti, "A different view: Hardware synthesis from SystemC is a maturing technology," IEEE Des. Test Comput., vol. 23, no. 5, p. 387, Sep. 2006.
-
(2006)
IEEE Des. Test Comput.
, vol.23
, Issue.5
, pp. 387
-
-
Sanguinetti, J.1
-
80
-
-
0017791305
-
A technology-relative computer aided design system: Abstract representations, transformations, and design tradeoffs
-
E. Snow, D. Siewiorek, and D. Thomas, "A technology-relative computer aided design system: Abstract representations, transformations, and design tradeoffs," in Proc. DAC, 1978, pp. 220-226.
-
(1978)
Proc. DAC
, pp. 220-226
-
-
Snow, E.1
Siewiorek, D.2
Thomas, D.3
-
81
-
-
0842286357
-
Bitwidth analysis with application to silicon compilation
-
M. Stephenson, J. Babb, and S. Amarasinghe, "Bitwidth analysis with application to silicon compilation," in Proc. PLDI, 2000, pp. 108-120. (Pubitemid 32394087)
-
(2000)
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI)
, pp. 108-120
-
-
Stephenson, M.1
Babb, J.2
Amarasinghe, S.3
-
82
-
-
77949584672
-
Scalable and low power LDPC decoder design using high level algorithmic synthesis
-
Sep.
-
Y. Sun, J. R. Cavallaro, and T. Ly, "Scalable and low power LDPC decoder design using high level algorithmic synthesis," in Proc. IEEE SoC Conf., Sep. 2009, pp. 267-270.
-
(2009)
Proc. IEEE SoC Conf.
, pp. 267-270
-
-
Sun, Y.1
Cavallaro, J.R.2
Ly, T.3
-
83
-
-
34147115136
-
Trident: From highlevel language to hardware circuitry
-
Mar.
-
J. L. Tripp, M. B. Gokhale, and K. D. Peterson, "Trident: From highlevel language to hardware circuitry," IEEE Comput., vol. 40, no. 3, pp. 28-37, Mar. 2007.
-
(2007)
IEEE Comput.
, vol.40
, Issue.3
, pp. 28-37
-
-
Tripp, J.L.1
Gokhale, M.B.2
Peterson, K.D.3
-
84
-
-
33746895856
-
Trident: An FPGA compiler framework for floating-point algorithms
-
DOI 10.1109/FPL.2005.1515741, 1515741, Proceedings - 2005 International Conference on Field Programmable Logic and Applications, FPL
-
J. L. Tripp, K. D. Peterson, C. Ahrens, J. D. Poznanovic, and M. B. Gokhale, "Trident: A FPGA compiler framework for floating-point algorithms," in Proc. FPL, 2005, pp. 317-322. (Pubitemid 44183452)
-
(2005)
Proceedings - 2005 International Conference on Field Programmable Logic and Applications, FPL
, vol.2005
, pp. 317-322
-
-
Tripp, J.L.1
Peterson, K.D.2
Ahrens, C.3
Poznanovic, J.D.4
Gokhale, M.B.5
-
85
-
-
79951950912
-
User needs
-
P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer
-
P. Urard, J. Yi, H. Kwon, and A. Gouraud, "User needs," in High- Level Synthesis: From Algorithm to Digital Circuit, P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer, 2008.
-
(2008)
High-Level Synthesis: From Algorithm to Digital Circuit
-
-
Urard, P.1
Yi, J.2
Kwon, H.3
Gouraud, A.4
-
86
-
-
79953111436
-
High level synthesis for FPGAs applied to a sphere decoder channel preprocessor
-
to be published
-
S. van Haastregt, S. Neuendorffer, K. Vissers, and B. Kienhuis, "High level synthesis for FPGAs applied to a sphere decoder channel preprocessor," in FPGA: Preliminary Program. 2011, to be published.
-
(2011)
FPGA: Preliminary Program.
-
-
Van Haastregt, S.1
Neuendorffer, S.2
Vissers, K.3
Kienhuis, B.4
-
87
-
-
79953092199
-
Easing the verification bottleneck using high level synthesis
-
Apr.
-
D. Varma, D. Mackay, and P. Thiruchelvam, "Easing the verification bottleneck using high level synthesis," in Proc. IEEE Very Large Scale Integr. Test Symp., Apr. 2010, pp. 253-254.
-
(2010)
Proc. IEEE Very Large Scale Integr. Test Symp.
, pp. 253-254
-
-
Varma, D.1
Mackay, D.2
Thiruchelvam, P.3
-
88
-
-
77954298772
-
Designing modular hardware accelerators in C with ROCCC 2.0
-
J. Villarreal, A. Park, W. Najjar, and R. Halstead, "Designing modular hardware accelerators in C with ROCCC 2.0," in Proc. FCCM, 2010, pp. 127-134.
-
(2010)
Proc. FCCM
, pp. 127-134
-
-
Villarreal, J.1
Park, A.2
Najjar, W.3
Halstead, R.4
-
90
-
-
73249146636
-
All-in-C behavioral synthesis and verification with CyberWorkBench
-
P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer
-
K. Wakabayashi and B. Schafer, "'All-in-C' behavioral synthesis and verification with CyberWorkBench," in High-Level Synthesis: From Algorithm to Digital Circuit, P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer, 2008.
-
(2008)
High-Level Synthesis: From Algorithm to Digital Circuit
-
-
Wakabayashi, K.1
Schafer, B.2
-
91
-
-
2442588793
-
C-based behavioral synthesis and verification analysis on industrial design examples
-
K. Wakabayashi, "C-based behavioral synthesis and verification analysis on industrial design examples," in Proc. ASPDAC, 2004, pp. 344- 348.
-
(2004)
Proc. ASPDAC
, pp. 344-348
-
-
Wakabayashi, K.1
-
92
-
-
33845585263
-
Unified representation for speculative scheduling: Generalized condition vector
-
DOI 10.1093/ietfec/e89-a.12.3408
-
K. Wakabayashi, "Unified representation for speculative scheduling: Generalized condition vector," IEICE Trans., vol. E89-A, no. 12, pp. 3408-3415, 2006. (Pubitemid 44936247)
-
(2006)
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
, vol.E89-A
, Issue.12
, pp. 3408-3415
-
-
Wakabayashi, K.1
-
93
-
-
0009584547
-
A silicon compiler for digital signal processing: Methodology, implementation, and applications
-
Sep.
-
F. F. Yassa, J. R. Jasica, R. I. Hartley, and S. E. Noujaim, "A silicon compiler for digital signal processing: Methodology, implementation, and applications," Proc. IEEE, vol. 75, no. 9, pp. 1272-1282, Sep. 1987.
-
(1987)
Proc. IEEE
, vol.75
, Issue.9
, pp. 1272-1282
-
-
Yassa, F.F.1
Jasica, J.R.2
Hartley, R.I.3
Noujaim, S.E.4
-
94
-
-
77951593778
-
Bit-level optimization for high-level synthesis and FPGA-based acceleration
-
Feb.
-
J. Zhang, Z. Zhang, S. Zhou, M. Tan, X. Liu, X. Cheng, and J. Cong, "Bit-level optimization for high-level synthesis and FPGA-based acceleration," in Proc. FPGA, Feb. 2010, pp. 59-68.
-
(2010)
Proc. FPGA
, pp. 59-68
-
-
Zhang, J.1
Zhang, Z.2
Zhou, S.3
Tan, M.4
Liu, X.5
Cheng, X.6
Cong, J.7
-
95
-
-
84892282773
-
AutoPilot: A platform-based ESL synthesis system
-
P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer
-
Z. Zhang, Y. Fan, W. Jiang, G. Han, C. Yang, and J. Cong, "AutoPilot: A platform-based ESL synthesis system," in High-Level Synthesis: From Algorithm to Digital Circuit, P. Coussy and A. Morawiec, Eds. Heidelberg, Germany: Springer, 2008.
-
(2008)
High-Level Synthesis: From Algorithm to Digital Circuit
-
-
Zhang, Z.1
Fan, Y.2
Jiang, W.3
Han, G.4
Yang, C.5
Cong, J.6
-
96
-
-
70449333909
-
-
Agility Design Solutions, Inc., Palo Alto, CA
-
Handel-C Language Reference Manual, Agility Design Solutions, Inc., Palo Alto, CA, 2007.
-
(2007)
Handel-C Language Reference Manual
-
-
-
98
-
-
79953083420
-
-
[Online]. Available
-
Avnet Spartan-6 FPGA DSP Kit [Online]. Available: http://www.xilinx.com/ products/devkits/AES-S6DSP-LX150T-G.htm
-
Avnet Spartan-6 FPGA DSP Kit
-
-
-
101
-
-
79953097333
-
-
Berkeley Design Technology, Inc. (Jan.), [Online]. Available
-
Berkeley Design Technology, Inc. (2010, Jan.). BDTI High- Level Synthesis Tool Certification Program [Online]. Available: http://www.bdti.com/products/ services-hlstcp.html
-
(2010)
BDTI High-Level Synthesis Tool Certification Program
-
-
-
103
-
-
79953112689
-
-
BlueSpec Inc. [Online]. Available
-
BlueSpec, Inc. [Online]. Available: http://www.bluespec.com
-
-
-
-
104
-
-
79953093741
-
-
Cadence Design Systems, Inc. [Online]. Available
-
Cadence Design Systems, Inc. (2008). Cadence C-to-Silicon White Paper [Online]. Available: http://www.cadence.com/rl/resources/ technical-papers/c-to- silicon-tp.pdf
-
(2008)
Cadence C-to-Silicon White Paper
-
-
-
106
-
-
79953105760
-
-
Calypto Design Systems Inc. [Online]. Available
-
Calypto Design Systems, Inc. [Online]. Available: http:// www.calypto.com
-
-
-
-
109
-
-
77950318448
-
-
IEEE and and OCSI, [Online]. Available
-
IEEE and OCSI. (2005). IEEE 1666-2005 Standard for SystemC [Online]. Available: http://www.systemc.org
-
(2005)
IEEE 1666-2005 Standard for SystemC
-
-
-
110
-
-
79953123092
-
-
(Apr.) [Online]. Available
-
Intel Concurrent Collections for C++. (2010, Apr.) [Online]. Available: http://software.intel.com/en-us/articles/intel-concurrent-collections-forcc
-
(2010)
Intel Concurrent Collections for C++
-
-
-
113
-
-
79953099700
-
-
[Online]. Available
-
Magma Talus [Online]. Available: http://www.magma-da.com/ products-solutions/digitalimplementation/talusdesign.aspx
-
Magma Talus
-
-
-
114
-
-
79953075744
-
-
DIME-C User Guide, Nallatech, Inc., Yorba Linda, CA
-
DIME-C User Guide, Nallatech, Inc., Yorba Linda, CA, 2008.
-
(2008)
-
-
-
115
-
-
77951249088
-
-
Open SystemC Initiative. Dec. [Online]. Available
-
Open SystemC Initiative. (2009, Dec.). SystemC Synthesizable Subset Draft 1.3 [Online]. Available: http://www.systemc.org.
-
(2009)
SystemC Synthesizable Subset Draft 1.3
-
-
-
116
-
-
84907353678
-
-
[Online]. Available
-
Synopsys IC Compiler [Online]. Available: http://www.synopsys. com/Tools/Implementation/PhysicalImplementation/Pages/ICCompiler. aspx
-
Synopsys IC Compiler
-
-
-
117
-
-
79953070111
-
-
[Online]. Available
-
Synopsys Synphony [Online]. Available: http://www.synopsys.com/ Systems/BlockDesign/HLS/Pages/default.aspx
-
-
-
Synopsys Synphony1
-
119
-
-
84908602853
-
-
[Online]. Available
-
Xilinx University Program [Online]. Available: http://www.xilinx.com/ products/boards-kits/university
-
Xilinx University Program
-
-
-
120
-
-
79953092736
-
-
document DS643, Xilinx, Inc., San Jose, CA, Sep. 21
-
Xilinx Multi-Ported Memory Controller (MPMC) Data Sheet (v6.02.a), document DS643, Xilinx, Inc., San Jose, CA, Sep. 21, 2010.
-
(2010)
Xilinx Multi-Ported Memory Controller (MPMC) Data Sheet (v6.02.a)
-
-
|