-
1
-
-
0032178807
-
-
ADITYA, S, KATHAIL, V, AND RAU, B. R. 1998. Elcor's machine description system: Version 3.0. Tech. rep. HPL- 1998-128. Hewlett-Packard Laboratories, Palo Alto, CA
-
ADITYA, S., KATHAIL, V., AND RAU, B. R. 1998. Elcor's machine description system: Version 3.0. Tech. rep. HPL- 1998-128. Hewlett-Packard Laboratories, Palo Alto, CA.
-
-
-
-
4
-
-
0026157612
-
IMPACT: An architectural framework for multiple-instruction-issue processors
-
HANG, P. P., MAHLKE, S. A., CHEN, W. Y., WARTER, N. J., AND Hwu, W. W. 1991. IMPACT: An architectural framework for multiple-instruction-issue processors. ACM Comput. Architect. News 19, 3, 266-275.
-
(1991)
ACM Comput. Architect. News
, vol.19
, Issue.3
, pp. 266-275
-
-
HANG, P.P.1
MAHLKE, S.A.2
CHEN, W.Y.3
WARTER, N.J.4
Hwu, W.W.5
-
7
-
-
0031999322
-
-
ESOLI, G. 1998. Instruction assignment for clustered VLIW DSP compilers: A new approach. Tech. rep. HPL- 98-13. Hewlett-Packard Laboratories, Palt Alto, CA.
-
ESOLI, G. 1998. Instruction assignment for clustered VLIW DSP compilers: A new approach. Tech. rep. HPL- 98-13. Hewlett-Packard Laboratories, Palt Alto, CA.
-
-
-
-
8
-
-
0033703885
-
Lx: A technology platform for customizable VLIW embedded processing
-
ACM Press, New York, NY
-
FARABOSCHI, P., BROWN, G., FISHER, J. A., DESOLI, G., AND HOMEWOOD, F. M. O. 2000. Lx: A technology platform for customizable VLIW embedded processing. In Proceedings of the International Symposium on Computer Architecture (ISCA'2000). ACM Press, New York, NY.
-
(2000)
Proceedings of the International Symposium on Computer Architecture (ISCA'2000)
-
-
FARABOSCHI, P.1
BROWN, G.2
FISHER, J.A.3
DESOLI, G.4
HOMEWOOD, F.M.O.5
-
10
-
-
33846964397
-
MediaBench II - technology, status, and cooperation
-
Istanbul, Turkey
-
FRITTS, J. AND MANGIONE-SMITH, B. 2002. MediaBench II - technology, status, and cooperation. In Proceedings of the Workshop on Media and Stream Processors (Istanbul, Turkey).
-
(2002)
Proceedings of the Workshop on Media and Stream Processors
-
-
FRITTS, J.1
MANGIONE-SMITH, B.2
-
13
-
-
33646948783
-
-
GANGWAR, A., BALAKRISHNAN, M., PANDA, P. R., AND KUMAR, A. 2005. Evaluation of bus based interconnect mechanisms in clustered VLIW architectures. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE-2005). 730-735.
-
GANGWAR, A., BALAKRISHNAN, M., PANDA, P. R., AND KUMAR, A. 2005. Evaluation of bus based interconnect mechanisms in clustered VLIW architectures. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE-2005). 730-735.
-
-
-
-
14
-
-
0027595384
-
The Superblock: An effective technique for VLIW and superscalar compilation
-
HWU, W. W., MAHLKE, A., S., CHEN, W. Y., CHANG, P. P., WARTER, N. J., BRINGMANN, R. A., OUELLETTE, R. G., HANK, R. E., KIYOHARA, T., HAAB, G. E., HOLM, J. G., AND LAVERY, D. M. 1993. The Superblock: An effective technique for VLIW and superscalar compilation. J. Supercomput 7, 1-2, 229-248.
-
(1993)
J. Supercomput
, vol.7
-
-
HWU, W.W.1
MAHLKE, A.S.2
CHEN, W.Y.3
CHANG, P.P.4
WARTER, N.J.5
BRINGMANN, R.A.6
OUELLETTE, R.G.7
HANK, R.E.8
KIYOHARA, T.9
HAAB, G.E.10
HOLM, J.G.11
LAVERY, D.M.12
-
15
-
-
0002731162
-
-
JACOME, M. AND DE VECIANA, G. 2000. Design challenges for new application specific processors. In IEEE Design and Test of Computers. Number 2. 40-50.
-
JACOME, M. AND DE VECIANA, G. 2000. Design challenges for new application specific processors. In IEEE Design and Test of Computers. Number 2. 40-50.
-
-
-
-
17
-
-
0034836754
-
CARS: A new code generation framework for clustered ILP processors
-
KAILAS, K., EBCIOGLU, K., AND AGRAWALA, A. K. 2001. CARS: A new code generation framework for clustered ILP processors. In Proceedings of the HPCA. 133-144.
-
(2001)
Proceedings of the HPCA
, pp. 133-144
-
-
KAILAS, K.1
EBCIOGLU, K.2
AGRAWALA, A.K.3
-
18
-
-
0031238171
-
-
KOZYRAKIS, C. E., PERISSAKIS, S., PATTERSON, D., ANDERSON, T., ASANOVIC, K., CARDWELL, N., FROMM, R., GOLBUS, J., GRIBSTAD, B., KEETON, K., THOMAS, R., TREUHAFT, N., AND YELICK, K. 1997. Scalable processors in the billion-transistor era: IRAM. IEEE Comput. 30, 9 (Sept.), 75-78.
-
KOZYRAKIS, C. E., PERISSAKIS, S., PATTERSON, D., ANDERSON, T., ASANOVIC, K., CARDWELL, N., FROMM, R., GOLBUS, J., GRIBSTAD, B., KEETON, K., THOMAS, R., TREUHAFT, N., AND YELICK, K. 1997. Scalable processors in the billion-transistor era: IRAM. IEEE Comput. 30, 9 (Sept.), 75-78.
-
-
-
-
19
-
-
33846997423
-
-
APINSKII, V., JACOME, M. F., AND DE VECIANA, G. 2001. High quality operation binding for clustered VLIW datapaths. In Proceedings of the IEEE / ACM Design Automation Conference (DAC' 2001).
-
APINSKII, V., JACOME, M. F., AND DE VECIANA, G. 2001. High quality operation binding for clustered VLIW datapaths. In Proceedings of the IEEE / ACM Design Automation Conference (DAC' 2001).
-
-
-
-
22
-
-
0031599788
-
Space-time scheduling of instruction-level parallelism on a raw machine
-
EE, W., BARUA, R., FRANK, M., SRIKRISHNA, D., BABB, J., SARKAR, V., AND AMARASINGHE, S. P. 1998. Space-time scheduling of instruction-level parallelism on a raw machine. In Proceedings of the Conference on Architectural Support for Programming Languages and Operating Systems, 46-57.
-
(1998)
Proceedings of the Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 46-57
-
-
EE, W.1
BARUA, R.2
FRANK, M.3
SRIKRISHNA, D.4
BABB, J.5
SARKAR, V.6
AMARASINGHE, S.P.7
-
23
-
-
0034497287
-
Instruction scheduling for clustered VLIW DSPs
-
EUPERS, R. 2000. Instruction scheduling for clustered VLIW DSPs. In Proceedings of the IEEE PACT. 291-300.
-
(2000)
Proceedings of the IEEE PACT
, pp. 291-300
-
-
EUPERS, R.1
-
24
-
-
0030673255
-
The transmogrifier-2: A 1-million gate rapid prototyping system
-
Monterey, CA
-
EWIS, D., GALLOWAY, D., IERSSEL, M., ROSE, J., AND CHOW, P. 1997. The transmogrifier-2: A 1-million gate rapid prototyping system. In Proceedings of the ACM 5th International Symposium on Field Programmable-Gate Arrays. Monterey, CA. 53-61.
-
(1997)
Proceedings of the ACM 5th International Symposium on Field Programmable-Gate Arrays
, pp. 53-61
-
-
EWIS, D.1
GALLOWAY, D.2
IERSSEL, M.3
ROSE, J.4
CHOW, P.5
-
25
-
-
0026980852
-
Effective compiler support for predicated execution using the hyperblock
-
AHLKE, S. A., LIN, D. C., CHEN, W. Y., HANK, R. E., AND BRINGMANN, R. A. 1992. Effective compiler support for predicated execution using the hyperblock. In Proceedings of the 25th Annual International Symposium on Microarchitecture.
-
(1992)
Proceedings of the 25th Annual International Symposium on Microarchitecture
-
-
AHLKE, S.A.1
LIN, D.C.2
CHEN, W.Y.3
HANK, R.E.4
BRINGMANN, R.A.5
-
26
-
-
0034440619
-
Communication scheduling
-
ATTSON, P., DALLY, W. J., RIXNER, S., KAPASI, U. J., AND OWENS, J. D. 2001. Communication scheduling. In Proceedings of the Ninth International Conference on Architectural Support for Programming Languages and Operating System. 82-92.
-
(2001)
Proceedings of the Ninth International Conference on Architectural Support for Programming Languages and Operating System
, pp. 82-92
-
-
ATTSON, P.1
DALLY, W.J.2
RIXNER, S.3
KAPASI, U.J.4
OWENS, J.D.5
-
28
-
-
0034581535
-
Register organization for media processing
-
RIXNER, S., DALLY, W. J., KHAILANY, B., MATTSON, P. R., KAPASI, U. J., AND OWENS, J. D. 2000. Register organization for media processing. In Proceedings of 6th International Symposium on High Performance Computer Architecture. 375-386.
-
(2000)
Proceedings of 6th International Symposium on High Performance Computer Architecture
, pp. 375-386
-
-
RIXNER, S.1
DALLY, W.J.2
KHAILANY, B.3
MATTSON, P.R.4
KAPASI, U.J.5
OWENS, J.D.6
-
29
-
-
0036377166
-
-
SANCHEZ, J., GIBERT, E., AND GONZALEZ, A. 2002. An interleaved cache clustered VLIW processor. In Proceedings of the ACM International Conference on Supercomputing (ICS' 2002).
-
SANCHEZ, J., GIBERT, E., AND GONZALEZ, A. 2002. An interleaved cache clustered VLIW processor. In Proceedings of the ACM International Conference on Supercomputing (ICS' 2002).
-
-
-
-
31
-
-
33846994983
-
-
Go online to
-
SIROYAN. 2002. Go online to http://www.siroyan.com.
-
(2002)
-
-
SIROYAN1
-
32
-
-
0035311434
-
-
SMITS, J. E. 2001. Instruction-level distributed processing. IEEE Comput. 34, 4 (Apr.), 59-65.
-
SMITS, J. E. 2001. Instruction-level distributed processing. IEEE Comput. 34, 4 (Apr.), 59-65.
-
-
-
-
33
-
-
33846969424
-
-
Demystifying EPIC and IA-64, no. 1
-
SONG, P. 1998. Demystifying EPIC and IA-64. Microprocessor Report, vol. 12, no. 1.
-
(1998)
Microprocessor Report
, vol.12
-
-
SONG, P.1
-
34
-
-
84937424966
-
Limits and graph structure of available instruction-level parallelism (research note)
-
Euro-Par 2000 Parallel Processing, A. Bode, T. Ludwig, W. Karl, and R. Wismueller, Eds, Springer-Verlag, Berlin, Germany
-
STEFANOVIC, D. AND MARTONOSI, M. 2001. Limits and graph structure of available instruction-level parallelism (research note). In Euro-Par 2000 Parallel Processing, A. Bode, T. Ludwig, W. Karl, and R. Wismueller, Eds. Lecture Notes in Computer Science, vol. 1900. Springer-Verlag, Berlin, Germany, 1018-1022.
-
(2001)
Lecture Notes in Computer Science
, vol.1900
, pp. 1018-1022
-
-
STEFANOVIC, D.1
MARTONOSI, M.2
-
35
-
-
84955466213
-
Inter-cluster communication models for clustered VLIW processors
-
Anaheim, CA
-
TERECHKO, A., THENAFF, E. L., GARG, M., VAN EIJNDHOVEN, J., AND CORPORAAL, H. 2003. Inter-cluster communication models for clustered VLIW processors. In Proceedings of the 9th International Symposium on High Performance Computer Architecture (Anaheim, CA). 298-309.
-
(2003)
Proceedings of the 9th International Symposium on High Performance Computer Architecture
, pp. 298-309
-
-
TERECHKO, A.1
THENAFF, E.L.2
GARG, M.3
VAN EIJNDHOVEN, J.4
CORPORAAL, H.5
-
38
-
-
0035691538
-
-
ZALAMEA, J., LLOSA, J., AYGUADE, E., AND VALERO, M. 2001. Modulo scheduling with integrated register spilling for clustered VLIW architectures. In Proceedings of the 34th Annual ACM / IEEE International Symposium on Microarchitecture. 160-169.
-
ZALAMEA, J., LLOSA, J., AYGUADE, E., AND VALERO, M. 2001. Modulo scheduling with integrated register spilling for clustered VLIW architectures. In Proceedings of the 34th Annual ACM / IEEE International Symposium on Microarchitecture. 160-169.
-
-
-
-
39
-
-
0003268059
-
DSPStone - a DSP-oriented benchmarking methodology
-
Dallas, TX
-
ZIVOJINOVIC, V., VELARDE, J. M., SCHLAGER, C., AND MEYR, H. 1994. DSPStone - a DSP-oriented benchmarking methodology. In Proceedings of the International Conference on Signal Processing Application Technology (Dallas, TX). 715-720.
-
(1994)
Proceedings of the International Conference on Signal Processing Application Technology
, pp. 715-720
-
-
ZIVOJINOVIC, V.1
VELARDE, J.M.2
SCHLAGER, C.3
MEYR, H.4
|