-
1
-
-
0033321638
-
DIVA: A reliable substrate for deep submicron microarchitecture design
-
Nov.
-
T. Austin. DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design. In International Symposium on Microarchi-tecture, pages 196-207, Nov. 1999.
-
(1999)
International Symposium on Microarchi-tecture
, pp. 196-207
-
-
Austin, T.1
-
4
-
-
0003906956
-
-
Apr
-
BSIM Design Group, World Wide Web, http: //www- device. eecs.berkeley.edu/~bsim3/ftv322/Mod_doc/ V322manu.tar.Z. BSIM3v3.2.2 MOSFET Model - User's Manual, Apr 1999.
-
(1999)
BSIM3v3.2.2 MOSFET Model - User's Manual
-
-
-
5
-
-
0003465202
-
The SimpleScalar toolset, Version 2.0
-
University of Wisconsin-Madison Computer Sciences Department, Jun
-
D. Burger and T. Austin. The SimpleScalar Toolset, Version 2.0. Technical Report TR-97-1342, University of Wisconsin-Madison Computer Sciences Department, Jun 1997.
-
(1997)
Technical Report
, vol.TR-97-1342
-
-
Burger, D.1
Austin, T.2
-
6
-
-
0032662989
-
Simultaneous subordinate microthreading (SSMT)
-
May
-
R. Chappell, J. Stark, S. Kim, S. Reinhardt, and Y. Patt. Simultaneous Subordinate Microthreading (SSMT). In International Symposium on Computer Architecture, pages 186-195, May 1999.
-
(1999)
International Symposium on Computer Architecture
, pp. 186-195
-
-
Chappell, R.1
Stark, J.2
Kim, S.3
Reinhardt, S.4
Patt, Y.5
-
8
-
-
0038346239
-
Transient-fault recovery for chip multiprocessors
-
June
-
M. Gomaa, C. Scarbrough, T. Vijaykumar, and I. Pomeranz. Transient-Fault Recovery for Chip Multiprocessors. In International Symposium on Computer Architecture, pages 98-109, June 2003.
-
(2003)
International Symposium on Computer Architecture
, pp. 98-109
-
-
Gomaa, M.1
Scarbrough, C.2
Vijaykumar, T.3
Pomeranz, I.4
-
9
-
-
0003278283
-
The microarchitecture of the pentium 4 processor
-
G. Hinton, D. Sager, M. Upton, D. Boggs, D. Carmean, A. Kyker, and P. Roussel. The Microarchitecture of the Pentium 4 Processor. Intel Technology Journal, Q1 2001.
-
(2001)
Intel Technology Journal
, vol.Q1
-
-
Hinton, G.1
Sager, D.2
Upton, M.3
Boggs, D.4
Carmean, D.5
Kyker, A.6
Roussel, P.7
-
10
-
-
0036917242
-
Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads
-
Nov.
-
S. Martin, K. Flautner, D. Blaauw, and T. Mudge. Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Lower Power Microprocessors Under Dynamic Workloads. In International Conference on Computer-Aided Design, pages 721-725, Nov. 2002.
-
(2002)
International Conference on Computer-aided Design
, pp. 721-725
-
-
Martin, S.1
Flautner, K.2
Blaauw, D.3
Mudge, T.4
-
13
-
-
0036290620
-
ReVive: Cost-effective architectural support for rollback recovery in shared-memory multiprocessors
-
May
-
M. Prvulovic, Z. Zhang, and J. Tomellas. ReVive: Cost-Effective Architectural Support for Rollback Recovery in Shared-Memory Multiprocessors. In International Symposium on Computer Architecture, pages 111-122, May 2002.
-
(2002)
International Symposium on Computer Architecture
, pp. 111-122
-
-
Prvulovic, M.1
Zhang, Z.2
Tomellas, J.3
-
14
-
-
33746769410
-
Slipstream memory hierarchies
-
Department of Electrical and Computer Engineering, North Carolina State University
-
Z. Purser, K. Sundaramoorthy, and E. Rotenberg. Slipstream Memory Hierarchies. Technical report cesr-tr-02-3, Department of Electrical and Computer Engineering, North Carolina State University, 2002.
-
(2002)
Technical Report
, vol.CESR-TR-02-3
-
-
Purser, Z.1
Sundaramoorthy, K.2
Rotenberg, E.3
-
15
-
-
0035691556
-
Dual use of superscalar datapath for transient-fault detection and recovery
-
Dec.
-
J. Ray, J. Hoe, and B. Falsafl. Dual Use of Superscalar Datapath for Transient-Fault Detection and Recovery. In International Symposium on Microarchitecture, pages 214-224, Dec. 2001.
-
(2001)
International Symposium on Microarchitecture
, pp. 214-224
-
-
Ray, J.1
Hoe, J.2
Falsafl, B.3
-
17
-
-
0032597692
-
AR-SMT: A microarchitectural approach to fault tolerance in microprocessors
-
June
-
E. Rotenberg. AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors. In International Symposium on Fault-Tolerant Computing, pages 84-91, June 1999.
-
(1999)
International Symposium on Fault-tolerant Computing
, pp. 84-91
-
-
Rotenberg, E.1
-
18
-
-
0004186874
-
Memory behavior of the SPEC2000 benchmark suite
-
IBM T. J. Watson Research Center, Oct
-
S. Sair and M. Charney. Memory Behavior of the SPEC2000 Benchmark Suite. Technical Report RC-21852, IBM T. J. Watson Research Center, Oct 2000.
-
(2000)
Technical Report
, vol.RC-21852
-
-
Sair, S.1
Charney, M.2
-
19
-
-
84944387421
-
Scalable hardware memory disambiguation for high ILP processors
-
Dec.
-
S. Sethumadhavan, R. Desikan, D. Burger, C. Moore, and S. Keckler. Scalable Hardware Memory Disambiguation for High ILP Processors. In International Symposium on Microarchitecture, pages 399-410, Dec. 2003.
-
(2003)
International Symposium on Microarchitecture
, pp. 399-410
-
-
Sethumadhavan, S.1
Desikan, R.2
Burger, D.3
Moore, C.4
Keckler, S.5
-
20
-
-
0036931372
-
Modeling the effect of technology trends on the soft error rate of combinational logic
-
June
-
P. Shivakuma, M. Kistler, S. Keckler, D. Burger, and L. Alvisi. Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic. In International Conference on Dependable Systems and Networks, pages 389-398, June 2002.
-
(2002)
International Conference on Dependable Systems and Networks
, pp. 389-398
-
-
Shivakuma, P.1
Kistler, M.2
Keckler, S.3
Burger, D.4
Alvisi, L.5
-
21
-
-
0038684860
-
Temperature-aware microarchitecture
-
June
-
K. Skadron, M. Stan, W. Huang, S. Velusamy, and K. Sankara-narayanan. Temperature-Aware Microarchitecture. In International Symposium on Computer Architecture, pages 2-13, June 2003.
-
(2003)
International Symposium on Computer Architecture
, pp. 2-13
-
-
Skadron, K.1
Stan, M.2
Huang, W.3
Velusamy, S.4
Sankara-Narayanan, K.5
-
22
-
-
0032667728
-
IBM's S/390 G5 microprocessor design
-
Mar./Apr.
-
T. Siegel, R. A. III, M. Check, B. Giamei, B. Krumm, C. Krygowski, W. Li, J. Liptay, J. MacDougall, T. McPherson, J. Navarro, E. Schwarz, K. Shum, and C. Webb. IBM's S/390 G5 Microprocessor Design. IEEE Micro, 19(2):12-23, Mar./Apr. 1999.
-
(1999)
IEEE Micro
, vol.19
, Issue.2
, pp. 12-23
-
-
Siegel, T.1
A. III, R.2
Check, M.3
Giamei, B.4
Krumm, B.5
Krygowski, C.6
Li, W.7
Liptay, J.8
MacDougall, J.9
McPherson, T.10
Navarro, J.11
Schwarz, E.12
Shum, K.13
Webb, C.14
-
23
-
-
84976822030
-
Decoupled access/execute computer architectures
-
Nov.
-
J. Smith. Decoupled Access/Execute Computer Architectures. ACM Transactions on Computer Systems, 2(4):289-308, Nov. 1984.
-
(1984)
ACM Transactions on Computer Systems
, vol.2
, Issue.4
, pp. 289-308
-
-
Smith, J.1
-
24
-
-
21644470906
-
Efficient resource sharing in concurrent error detecting superscalar microarchitecture
-
Dec.
-
J. Smolens, J. Kim, J. Hoe, and B. Falsafi. Efficient Resource Sharing in Concurrent Error Detecting Superscalar Microarchitecture. In International Symposium on Microarchitecture, pages 257-268, Dec. 2004.
-
(2004)
International Symposium on Microarchitecture
, pp. 257-268
-
-
Smolens, J.1
Kim, J.2
Hoe, J.3
Falsafi, B.4
-
25
-
-
0036292677
-
SafetyNet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery
-
May
-
D. Sorin, M. Martin, M. Hill, and D. Wood. SafetyNet: Improving the Availability of Shared Memory Multiprocessors with Global Checkpoint/Recovery. In International Symposium on Computer Architecture, pages 123-134, May 2002.
-
(2002)
International Symposium on Computer Architecture
, pp. 123-134
-
-
Sorin, D.1
Martin, M.2
Hill, M.3
Wood, D.4
-
28
-
-
0029732375
-
IBM experiments in soft fails in computer electronics (1978-1994)
-
Jan.
-
J. Ziegler et al. IBM Experiments in Soft Fails in Computer Electronics (1978-1994). IBM Journal of Research and Development, 40(1):3-18, Jan. 1996.
-
(1996)
IBM Journal of Research and Development
, vol.40
, Issue.1
, pp. 3-18
-
-
Ziegler, J.1
|