-
1
-
-
46149095532
-
From single core to multi-core: Preparing for a new exponential
-
San Jose, CA, November 5-9
-
Parkhurst, J., Darringer, J., and Grundmann, B., 2006, "From Single Core to Multi-Core: Preparing for a New Exponential," IEEE/ACM International Conference on Computer-Aided Design (ICCAD '06), San Jose, CA, November 5-9, pp. 67-72.
-
(2006)
IEEE/ACM International Conference on Computer-Aided Design (ICCAD '06)
, pp. 67-72
-
-
Parkhurst, J.1
Darringer, J.2
Grundmann, B.3
-
2
-
-
0038684860
-
Temperature-aware microarchitecture
-
San Diego, CA, June 9-11
-
Skadron, K., Stan, M. R., Huang, W., Velusamy, S., Sankaranarayanan, K., and Tarjan, D., 2003, "Temperature-Aware Microarchitecture," 30th Annual International Symposium on Computer Architecture (ISCA '03), San Diego, CA, June 9-11, pp. 2-13.
-
(2003)
30th Annual International Symposium on Computer Architecture (ISCA '03)
, pp. 2-13
-
-
Skadron, K.1
Stan, M.R.2
Huang, W.3
Velusamy, S.4
Sankaranarayanan, K.5
Tarjan, D.6
-
3
-
-
27444438269
-
A case for thermal-aware floorplanning at the microarchitectural level
-
Sankaranarayanan, K., Velusamy, S., Stan, M., and Skadron, K., 2005, "A Case for Thermal-Aware Floorplanning at the Microarchitectural Level," J. Instr.-Level Parallelism, 7(1), pp. 8-16
-
(2005)
J. Instr.-Level Parallelism
, vol.7
, Issue.1
, pp. 8-16
-
-
Sankaranarayanan, K.1
Velusamy, S.2
Stan, M.3
Skadron, K.4
-
4
-
-
77955539303
-
Multi-objective optimization to improve both thermal and device performance of a nonuniformly powered micro-architecture
-
Karajgjikar, S., Agonafer, D., Ghose, K., Sammakia, B., Amon, C., and Refai-Ahmed, G., 2010, "Multi-Objective Optimization to Improve Both Thermal and Device Performance of a Nonuniformly Powered Micro-Architecture, " ASME J. Electron. Packag., 132(2), p. 021008.
-
(2010)
ASME J. Electron. Packag.
, vol.132
, Issue.2
, pp. 021008
-
-
Karajgjikar, S.1
Agonafer, D.2
Ghose, K.3
Sammakia, B.4
Amon, C.5
Refai-Ahmed, G.6
-
5
-
-
78650876030
-
3D-ice: Fast compact transient thermal modeling for 3d ics with inter-tier liquid cooling
-
San Jose, CA, November 7-11
-
Sridhar, A., Vincenzi, A., Ruggiero, M., Brunschwiler, T., and Atienza, D., 2010, "3D-ICE: Fast Compact Transient Thermal Modeling for 3D ICs With Inter-Tier Liquid Cooling," International Conference on Computer-Aided Design (ICCAD'10), San Jose, CA, November 7-11, pp. 463-470.
-
(2010)
International Conference on Computer-Aided Design (ICCAD'10)
, pp. 463-470
-
-
Sridhar, A.1
Vincenzi, A.2
Ruggiero, M.3
Brunschwiler, T.4
Atienza, D.5
-
6
-
-
78650463919
-
Compact transient thermal model for 3d ics with liquid cooling via enhanced heat transfer cavity geometries
-
Barcelona, Spain, October 6-8
-
Sridhar, A., Vincenzi, A., Ruggiero, M., Brunschwiler, T., and Atienza, D., 2010, "Compact Transient Thermal Model for 3D ICs With Liquid Cooling Via Enhanced Heat Transfer Cavity Geometries," 16th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC'10), Barcelona, Spain, October 6-8.
-
(2010)
16th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC'10
-
-
Sridhar, A.1
Vincenzi, A.2
Ruggiero, M.3
Brunschwiler, T.4
Atienza, D.5
-
7
-
-
80555154158
-
Modeling and dynamic management of 3d multicore systems with liquid cooling
-
Florian-opolis, Brazil, October 12-14
-
Coskun, A. K., Ayala, J. L., Atienza, D., and Rosing, T. S., 2009, "Modeling and Dynamic Management of 3D Multicore Systems With Liquid Cooling," 17th IFIP International Conference on Very Large Scale Integration (VLSI-SoC), Florian-opolis, Brazil, October 12-14, pp. 35-40.
-
(2009)
17th IFIP International Conference on Very Large Scale Integration (VLSI-SoC
, pp. 35-40
-
-
Coskun, A.K.1
Ayala, J.L.2
Atienza, D.3
Rosing, T.S.4
-
8
-
-
77950954333
-
Thermal solution maps: A strategy for thermal design of three- dimensional packages
-
Rayasam, M., Chaparala, S., Farnam, D., Sammakia, B. G., and Subbarayan, G., 2009, "Thermal Solution Maps: A Strategy for Thermal Design of Three- Dimensional Packages," ASME J. Electron. Packag., 131(1), p. 011015.
-
(2009)
ASME J. Electron. Packag.
, vol.131
, Issue.1
, pp. 011015
-
-
Rayasam, M.1
Chaparala, S.2
Farnam, D.3
Sammakia, B.G.4
Subbarayan, G.5
-
9
-
-
77955302194
-
Thermal characterization of interlayer microfluidic cooling of three- dimensional integrated circuits with nonuniform heat flux
-
Kim, Y. J., Joshi, Y. K., Fedorov, A. G., Lee, Y. J., and Lim, S. K., 2010, "Thermal Characterization of Interlayer Microfluidic Cooling of Three- Dimensional Integrated Circuits With Nonuniform Heat Flux," ASME J. Heat Transfer, 132(4), p. 041009.
-
(2010)
ASME J. Heat Transfer
, vol.132
, Issue.4
, pp. 041009
-
-
Kim, Y.J.1
Joshi, Y.K.2
Fedorov, A.G.3
Lee, Y.J.4
Lim, S.K.5
-
10
-
-
80052659383
-
Non-uniform micro-channel design for stacked 3d-ics
-
San Diego, CA, June 5-9
-
Shi, B., Srivastava, A., and Wang, P., 2011, "Non-Uniform Micro-Channel Design for Stacked 3d-ICs," 48th ACM/EDAC/IEEE Design Automation Conference (DAC), San Diego, CA, June 5-9, pp. 658-663.
-
(2011)
48th ACM/EDAC/IEEE Design Automation Conference (DAC)
, pp. 658-663
-
-
Shi, B.1
Srivastava, A.2
Wang, P.3
-
11
-
-
79957631276
-
Angle-of-attack investigation of pin-fin arrays in nonuniform heat-removal cavities for interlayer cooled chip stacks
-
San Jose, CA, March 20-24, pp.116-124
-
Brunschwiler, T., Paredes, S., Drechsler, U., Michel, B., Wunderle, B., and Reichl, H., 2011, "Angle-of-Attack Investigation of Pin-Fin Arrays in Nonuniform Heat-Removal Cavities for Interlayer Cooled Chip Stacks," 27th IEEE Semiconductor Thermal Measurement and Management Symposium (SEMITHERM), San Jose, CA, March 20-24, pp.116-124.
-
(2011)
27th IEEE Semiconductor Thermal Measurement and Management Symposium (SEMITHERM)
-
-
Brunschwiler, T.1
Paredes, S.2
Drechsler, U.3
Michel, B.4
Wunderle, B.5
Reichl, H.6
-
12
-
-
23944463423
-
High flux heat removal with microchannels - A roadmap of challenges and opportunities
-
DOI 10.1080/01457630591003655
-
Kandlikar, S. G., 2005, "High Flux Heat Removal With Microchannels-A Roadmap of Challenges and Opportunities," Heat Transfer Eng., 26(8), pp. 5-14. (Pubitemid 41205130)
-
(2005)
Heat Transfer Engineering
, vol.26
, Issue.8
, pp. 5-14
-
-
Kandlikar, S.G.1
-
13
-
-
34547425357
-
Design space exploration for multicore architectures: A power/performance/thermal view
-
DOI 10.1145/1183401.1183428, Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006
-
Monchiero, M., Canal, R., and Gonz-alez, A., 2006, "Design Space Exploration for Multicore Architectures: A Power/Performance/Thermal View," 20th Annual International Conference on Supercomputing (ICS '06), Queensland, Australia, June 28-July 1, pp. 177-186. (Pubitemid 47168504)
-
(2006)
Proceedings of the International Conference on Supercomputing
, pp. 177-186
-
-
Monchiero, M.1
Canal, R.2
Gonzalez, A.3
-
14
-
-
42549162260
-
Power/performance/thermal design-space exploration for multicore architectures
-
DOI 10.1109/TPDS.2007.70756
-
Monchiero, M., Canal, R., and Gonzalez, A., 2008, "Power/ Performance/ Thermal Design-Space Exploration for Multicore Architectures," IEEE Trans. Parallel Distrib. Syst., 19(5), pp. 666-681. (Pubitemid 351583569)
-
(2008)
IEEE Transactions on Parallel and Distributed Systems
, vol.19
, Issue.5
, pp. 666-681
-
-
Monchiero, M.1
Canal, R.2
Gonzalez, A.3
-
15
-
-
27744569130
-
Extending the heat flux limit with enhanced microchannels in direct single-phase cooling of computer chips
-
21st Annual IEEE Semiconductor Thermal Measurement and Management Symposium
-
Kandlikar, S. G., and Upadhye, H. R., 2005, "Extending the Heat Flux Limit With Enhanced Microchannels in Direct Single-Phase Cooling of Computer Chips," IEEE 21st Annual Symposium Semiconductor Thermal Measurement Management, San Jose, CA, March 15-17, pp. 8-15. (Pubitemid 41696248)
-
(2005)
Annual IEEE Semiconductor Thermal Measurement and Management Symposium
, pp. 8-15
-
-
Kandlikar, S.G.1
Upadhye, H.R.2
-
16
-
-
50849108147
-
Static and dynamic temperature-aware scheduling for multiprocessor socs
-
Coskun, A. K., Rosing, T. T., Whisnant, K. A., and Gross, K. C., 2008, "Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs," IEEE Trans. VLSI Syst., 16(9), pp. 1127-1140.
-
(2008)
IEEE Trans. VLSI Syst.
, vol.16
, Issue.9
, pp. 1127-1140
-
-
Coskun, A.K.1
Rosing, T.T.2
Whisnant, K.A.3
Gross, K.C.4
-
17
-
-
84952642790
-
Study on heat conduction in a simulated multicore processor chip-part ii: Case studies
-
Nakayama, W., 2013, "Study on Heat Conduction in a Simulated Multicore Processor Chip-Part II: Case Studies," ASME J. Electron. Packag., 135(2), p. 021003.
-
(2013)
ASME J. Electron. Packag.
, vol.135
, Issue.2
, pp. 021003
-
-
Nakayama, W.1
-
18
-
-
46849090130
-
Recent advances in microscale pumping technologies: A review and evaluation
-
Iverson, B. D., and Garimella, S. V., 2008, "Recent Advances in Microscale Pumping Technologies: A Review and Evaluation," Microfluid. Nanofluid., 5(2), pp. 145-174.
-
(2008)
Microfluid. Nanofluid.
, vol.5
, Issue.2
, pp. 145-174
-
-
Iverson, B.D.1
Garimella, S.V.2
-
19
-
-
85199285114
-
-
ASME Paper No. HT2013-17184
-
Li, Y., Zhang, Y., and Yao, S. C., 2013, "Porous Media Thermal Modeling of An Electronic Chip With Non-Uniform Power Distribution and Cooled by Micro-Channels," ASME Paper No. HT2013-17184.
-
(2013)
Porous Media Thermal Modeling of An Electronic Chip with Non-Uniform Power Distribution and Cooled by Micro-Channels
-
-
Li, Y.1
Zhang, Y.2
Yao, S.C.3
-
20
-
-
0033457941
-
Forced convection in microstructures for electronic equipment cooling
-
Kim, S. J., and Kim, D., 1999, "Forced Convection in Microstructures for Electronic Equipment Cooling," ASME J. Heat Transfer, 121(3), pp. 635-645.
-
(1999)
ASME J. Heat Transfer
, vol.121
, Issue.3
, pp. 635-645
-
-
Kim, S.J.1
Kim, D.2
-
21
-
-
0034145058
-
On the local thermal equilibrium in microchannel heat sinks
-
DOI 10.1016/S0017-9310(99)00259-8
-
Kim, S. J., Kim, D., and Lee, D. Y., 2000, "On the Local Thermal Equilibrium in Micro-Channel Heat Sinks," Int. J. Heat Mass Transfer, 43(10), pp. 1735-1748. (Pubitemid 32131973)
-
(2000)
International Journal of Heat and Mass Transfer
, vol.43
, Issue.10
, pp. 1735-1748
-
-
Kim, S.J.1
Kim, D.2
Lee, D.Y.3
-
22
-
-
1642362767
-
Laminar forced convection heat transfer in the combined entry region of non-circular ducts
-
DOI 10.1115/1.1643752
-
Muzychka, Y. S., and Yovanovich, M. M., 2004, "Laminar Forced Convection Heat Transfer in the Combined Entry Region of Non-Circular Ducts," ASME J. Heat Transfer, 126(1), pp. 54-61. (Pubitemid 38550316)
-
(2004)
Journal of Heat Transfer
, vol.126
, Issue.1
, pp. 54-61
-
-
Muzychka, Y.S.1
Yovanovich, M.M.2
-
23
-
-
0003720363
-
-
McGraw-Hill, New York, Chap. IX
-
Kays, W. M., Crawford, B. E., and Weigand, B., 1993, Convective Heat and Mass Transfer, McGraw-Hill, New York, Chap. IX.
-
(1993)
Convective Heat and Mass Transfer
-
-
Kays, W.M.1
Crawford, B.E.2
Weigand, B.3
-
24
-
-
33745161342
-
Thermally developing flow and heat transfer in rectangular microchannels of different aspect ratios
-
DOI 10.1016/j.ijheatmasstransfer.2006.02.011, PII S0017931006001311
-
Lee, P. S., and Garimella, S. V., 2006, "Thermally Developing Flow and Heat Transfer in Rectangular Microchannels of Different Aspect Ratios," Int. J. Heat Mass Transfer, 49(17-19), pp. 3060-3067. (Pubitemid 43902830)
-
(2006)
International Journal of Heat and Mass Transfer
, vol.49
, Issue.17-18
, pp. 3060-3067
-
-
Lee, P.-S.1
Garimella, S.V.2
-
25
-
-
0342948889
-
Three-dimensional conjugate heat transfer in the microchannel heat sink for electronic packaging
-
DOI 10.1016/S0017-9310(99)00151-9, PII S0017931099001519
-
Fedorov, A. G., and Viskanta, R., 2000, "Three-Dimensional Conjugated Heat Transfer in the Micro-Channel Heat Sink for Electronic Packaging," Int. J. Heat Mass Transfer, 43(3), pp. 399-415. (Pubitemid 30073970)
-
(2000)
International Journal of Heat and Mass Transfer
, vol.43
, Issue.3
, pp. 399-415
-
-
Fedorov, A.G.1
Viskanta, R.2
-
26
-
-
0036641579
-
Analysis of three-dimensional heat transfer in micro-channel heat sinks
-
DOI 10.1016/S0017-9310(02)00101-1, PII S0017931002001011
-
Qu, W., and Mudawar, I., 2002, "Analysis of Three-Dimensional Heat Transfer in Micro-Channel Heat Sinks," Int. J. Heat Mass Transfer, 45(19), pp. 3973-3985. (Pubitemid 34792334)
-
(2002)
International Journal of Heat and Mass Transfer
, vol.45
, Issue.19
, pp. 3973-3985
-
-
Qu, W.1
Mudawar, I.2
-
27
-
-
33748521353
-
A dual-core multi-threaded xeon processor with 16mb l3 cache
-
San Francisco, CA, February 6-9
-
Rusu, S., Tam, S., Muljono, H., Ayers, D., and Chang, J., 2006, "A Dual-Core Multi-Threaded Xeon Processor With 16MB L3 Cache," IEEE International Solid-State Circuits Conference (ISSCC 2006), San Francisco, CA, February 6-9, pp. 315-324.
-
(2006)
IEEE International Solid-State Circuits Conference (ISSCC 2006)
, pp. 315-324
-
-
Rusu, S.1
Tam, S.2
Muljono, H.3
Ayers, D.4
Chang, J.5
-
28
-
-
33846213489
-
A 65-nm dual-core multithreaded xeonvr processor with 16-mb l3 cache
-
Rusu, S., Tam, S., Muljono, H., Ayers, D., Chang, J., Cherkauer, B., and Vora, S., 2007, "A 65-nm Dual-Core Multithreaded XeonVR Processor With 16-MB L3 Cache," IEEE J. Solid-State Circuits, 42(1), pp. 17-25.
-
(2007)
IEEE J. Solid-State Circuits
, vol.42
, Issue.1
, pp. 17-25
-
-
Rusu, S.1
Tam, S.2
Muljono, H.3
Ayers, D.4
Chang, J.5
Cherkauer, B.6
Vora, S.7
-
29
-
-
58149218299
-
A 65 nm 2-billion transistor quad-core itanium processor
-
Stackhouse, B., Bhimji, S., Bostak, C., Bradley, D., Cherkauer, B., Desai, J., and Troyer, S., 2009, "A 65 nm 2-Billion Transistor Quad-Core Itanium Processor," IEEE J. Solid-State Circuits, 44(1), pp. 18-31.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.1
, pp. 18-31
-
-
Stackhouse, B.1
Bhimji, S.2
Bostak, C.3
Bradley, D.4
Cherkauer, B.5
Desai, J.6
Troyer, S.7
-
30
-
-
73249146452
-
A 45 nm 8-core enterprise xeon processor
-
Rusu, S., Tam, S., Muljono, H., Stinson, J., Ayers, D., Chang, J., and Vora, S., 2010, "A 45 nm 8-Core Enterprise Xeon Processor," IEEE J. Solid-State Circuits, 45(1), pp. 7-14.
-
(2010)
IEEE J. Solid-State Circuits
, vol.45
, Issue.1
, pp. 7-14
-
-
Rusu, S.1
Tam, S.2
Muljono, H.3
Stinson, J.4
Ayers, D.5
Chang, J.6
Vora, S.7
-
31
-
-
68349139340
-
Low-temperature two-phase micro-channel cooling for high-heat-flux thermal management of defense electronics
-
Lee, J., and Mudawar, I., 2008, "Low-Temperature Two-Phase Micro-Channel Cooling for High-Heat-Flux Thermal Management of Defense Electronics," IEEE Trans. Compon. Packag. Manuf. Technol., 32(2), pp. 453-465.
-
(2008)
IEEE Trans. Compon. Packag. Manuf. Technol.
, vol.32
, Issue.2
, pp. 453-465
-
-
Lee, J.1
Mudawar, I.2
-
32
-
-
85199304064
-
-
3M, 2002 3M NovecTM Engineered Fluid HFE-7100 for Heat Transfer data sheet, 3M, St. Paul, MN
-
3M, 2002, "3M NovecTM Engineered Fluid HFE-7100 for Heat Transfer," data sheet, 3M, St. Paul, MN.
-
-
-
-
33
-
-
65349095786
-
Shape optimization of micro-channel heat sink for micro-electronic cooling
-
Husain, A., and Kim, K. Y., 2008, "Shape Optimization of Micro-Channel Heat Sink for Micro-Electronic Cooling," IEEE Trans. Compon. Packag. Technol., 31(2), pp. 322-330.
-
(2008)
IEEE Trans. Compon. Packag. Technol.
, vol.31
, Issue.2
, pp. 322-330
-
-
Husain, A.1
Kim, K.Y.2
-
35
-
-
13844280952
-
Analysis and optimization of the thermal performance of microchannel heat sinks
-
DOI 10.1108/09615530510571921
-
Liu, D., and Garimella, S. V., 2005, "Analysis and Optimization of the Thermal Performance of Microchannel Heat Sinks," Int. J. Numer. Methods Heat Fluid Flow, 15(1), pp. 7-26. (Pubitemid 40242594)
-
(2005)
International Journal of Numerical Methods for Heat and Fluid Flow
, vol.15
, Issue.1
, pp. 7-26
-
-
Liu, D.1
Garimella, S.V.2
|