-
2
-
-
70049091308
-
-
ASHRAE Technical report, American Society of Heating, Refrigerating and Air-Conditioning Engineers, Inc., Atlanta, GA, USA
-
ASHRAE. 2008 ashrae environmental guidelines for datacom equipment. Technical report, American Society of Heating, Refrigerating and Air-Conditioning Engineers, Inc., Atlanta, GA, USA, 2008.
-
(2008)
2008 Ashrae Environmental Guidelines for Datacom Equipment
-
-
-
3
-
-
57849096236
-
Building manycore processor-to-dram networks with monolithic silicon photonics
-
Washington, DC, USA, 2008. IEEE Computer Society
-
C. Batten, A. Joshi, et al. Building manycore processor-to-dram networks with monolithic silicon photonics. In HOTI '08: Proceedings of the 2008 16th IEEE Symposium on High Performance Interconnects, pages 21-30, Washington, DC, USA, 2008. IEEE Computer Society.
-
HOTI '08: Proceedings of the 2008 16th IEEE Symposium on High Performance Interconnects
, pp. 21-30
-
-
Batten, C.1
Joshi, A.2
-
4
-
-
70450230786
-
Phastlane: A rapid transit optical routing network
-
M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi. Phastlane: a rapid transit optical routing network. SIGARCH Comput. Archit. News, 37(3):441-450, 2009.
-
(2009)
SIGARCH Comput. Archit. News
, vol.37
, Issue.3
, pp. 441-450
-
-
Cianchetti, M.J.1
Kerekes, J.C.2
Albonesi, D.H.3
-
6
-
-
79955887813
-
-
personal communication about trimming work being done by Andrei Faraon at HP.
-
M. Fiorentino. personal communication about trimming work being done by Andrei Faraon at HP., 2010.
-
(2010)
-
-
Fiorentino, M.1
-
8
-
-
38749126315
-
Hotspot: Thermal modeling for cmos vlsi systems
-
W. Huang, S. Ghosh, K. Sankaranarayanan, K. Skadron, and M. R. Stan. Hotspot: Thermal modeling for cmos vlsi systems. IEEE Transactions on Component Packaging and Manufacturing Technology, 2005.
-
(2005)
IEEE Transactions on Component Packaging and Manufacturing Technology
-
-
Huang, W.1
Ghosh, S.2
Sankaranarayanan, K.3
Skadron, K.4
Stan, M.R.5
-
9
-
-
49149120280
-
Accurate, prertl temperature-aware design using a parameterized, geometric thermal model
-
W. Huang, K. Sankaranarayanan, et al. Accurate, prertl temperature-aware design using a parameterized, geometric thermal model. IEEE Transactions on Computers, 57(9):1277-1288, 2008.
-
(2008)
IEEE Transactions on Computers
, vol.57
, Issue.9
, pp. 1277-1288
-
-
Huang, W.1
Sankaranarayanan, K.2
-
10
-
-
70349792919
-
Silicon-photonic clos networks for global on-chip communication
-
Washington, DC, USA, IEEE Computer Society
-
A. Joshi, C. Batten, et al. Silicon-photonic clos networks for global on-chip communication. In NOCS '09: Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, pages 124-133, Washington, DC, USA, 2009. IEEE Computer Society.
-
(2009)
NOCS '09: Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip
, pp. 124-133
-
-
Joshi, A.1
Batten, C.2
-
11
-
-
70350060187
-
Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration
-
April
-
A. Kahng, B. Li, et al. Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration. In DATE, pages 423-428, April 2009.
-
(2009)
DATE
, pp. 423-428
-
-
Kahng, A.1
Li, B.2
-
12
-
-
34249821314
-
Leveraging optical technology in future bus-based chip multiprocessors
-
Washington, DC, USA, IEEE Computer Society
-
N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi. Leveraging optical technology in future bus-based chip multiprocessors. In MICRO 39: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, pages 492-503, Washington, DC, USA, 2006. IEEE Computer Society.
-
(2006)
MICRO 39: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 492-503
-
-
Kirman, N.1
Kirman, M.2
Dokania, R.K.3
Martinez, J.F.4
Apsel, A.B.5
Watkins, M.A.6
Albonesi, D.H.7
-
13
-
-
75249105830
-
Uv trimming of polarization-independent microring resonator by internal stress and temperature control
-
Y. Kokubun, N. Kobayashi, and T. Sato. Uv trimming of polarization-independent microring resonator by internal stress and temperature control. Opt. Express, 18(2):906-916, 2010.
-
(2010)
Opt. Express
, vol.18
, Issue.2
, pp. 906-916
-
-
Kokubun, Y.1
Kobayashi, N.2
Sato, T.3
-
14
-
-
31344438724
-
Guiding, modulating, and emitting light on silicon-challenges and opportunities
-
Dec.
-
M. Lipson. Guiding, modulating, and emitting light on silicon-challenges and opportunities. Lightwave Technology, Journal of, 23(12):4222-4238, Dec. 2005.
-
(2005)
Lightwave Technology, Journal of
, vol.23
, Issue.12
, pp. 4222-4238
-
-
Lipson, M.1
-
15
-
-
0000894702
-
Rationale and challenges for optical interconnects to electronic chips
-
Jun
-
D. Miller. Rationale and challenges for optical interconnects to electronic chips. Proceedings of the IEEE, 88(6):728-749, Jun 2000.
-
(2000)
Proceedings of the IEEE
, vol.88
, Issue.6
, pp. 728-749
-
-
Miller, D.1
-
16
-
-
67449128189
-
Device requirements for optical interconnects to silicon chips
-
july
-
D. Miller. Device requirements for optical interconnects to silicon chips. Proceedings of the IEEE, 97(7):1166-1185, july 2009.
-
(2009)
Proceedings of the IEEE
, vol.97
, Issue.7
, pp. 1166-1185
-
-
Miller, D.1
-
17
-
-
28144459398
-
Opportunities for optics in integrated circuits applications
-
Feb.
-
D. Miller, A. Bhatnagar, et al. Opportunities for optics in integrated circuits applications. In Solid-State Circuits Conference, 2005. Digest of Technical Papers. ISSCC. 2005 IEEE International, pages 86-87 Vol. 1, Feb. 2005.
-
(2005)
Solid-State Circuits Conference, 2005. Digest of Technical Papers. ISSCC. 2005 IEEE International
, vol.1
, pp. 86-87
-
-
Miller, D.1
Bhatnagar, A.2
-
19
-
-
70549111625
-
Firefly: Illuminating future network-on-chip with nanophotonics
-
Y. Pan, P. Kumar, et al. Firefly: illuminating future network-on-chip with nanophotonics. SIGARCH Comput. Archit. News, 37(3):429-440, 2009.
-
(2009)
SIGARCH Comput. Archit. News
, vol.37
, Issue.3
, pp. 429-440
-
-
Pan, Y.1
Kumar, P.2
-
20
-
-
41149175687
-
Trimming of silicon ring resonator by electron beam induced compaction and strain
-
J. Schrauwen, D. V. Thourhout, and R. Baets. Trimming of silicon ring resonator by electron beam induced compaction and strain. Opt. Express, 16(6):3738-3743, 2008.
-
(2008)
Opt. Express
, vol.16
, Issue.6
, pp. 3738-3743
-
-
Schrauwen, J.1
Thourhout, D.V.2
Baets, R.3
-
22
-
-
35348960422
-
Building ultralow-latency interconnection networks using photonic integration
-
DOI 10.1109/MM.2007.64
-
A. Shacham and K. Bergman. Building ultralow-latency interconnection networks using photonic integration. IEEE Micro, 27(4):6-20, 2007. (Pubitemid 47595640)
-
(2007)
IEEE Micro
, vol.27
, Issue.4
, pp. 6-20
-
-
Shacham, A.1
Bergman, K.2
-
23
-
-
34547238619
-
The case for low-power photonic networks on chip
-
New York, NY, USA, ACM.
-
A. Shacham, K. Bergman, and L. P. Carloni. The case for low-power photonic networks on chip. In DAC '07: Proceedings of the 44th annual Design Automation Conference, pages 132-135, New York, NY, USA, 2007. ACM.
-
(2007)
DAC '07: Proceedings of the 44th Annual Design Automation Conference
, pp. 132-135
-
-
Shacham, A.1
Bergman, K.2
Carloni, L.P.3
-
24
-
-
36349002874
-
On the design of a photonic network-on-chip
-
Washington, DC, USA, IEEE Computer Society
-
A. Shacham, K. Bergman, and L. P. Carloni. On the design of a photonic network-on-chip. In NOCS '07: Proceedings of the First International Symposium on Networks-on-Chip, pages 53-64,Washington, DC, USA, 2007. IEEE Computer Society.
-
(2007)
NOCS '07: Proceedings of the First International Symposium on Networks-on-Chip
, pp. 53-64
-
-
Shacham, A.1
Bergman, K.2
Carloni, L.P.3
-
26
-
-
85008053864
-
An 80-tile sub-100-w teraflops processor in 65-nm cmos
-
Jan.
-
S. Vangal, J. Howard, et al. An 80-tile sub-100-w teraflops processor in 65-nm cmos. Solid-State Circuits, IEEE Journal of, 43(1):29-41, Jan. 2008.
-
(2008)
Solid-State Circuits, IEEE Journal of
, vol.43
, Issue.1
, pp. 29-41
-
-
Vangal, S.1
Howard, J.2
-
27
-
-
52649100126
-
Corona: System implications of emerging nanophotonic technology
-
Washington, DC, USA, IEEE Computer Society
-
D. Vantrease, R. Schreiber, et al. Corona: System implications of emerging nanophotonic technology. In ISCA '08: Proceedings of the 35th International Symposium on Computer Architecture, pages 153-164, Washington, DC, USA, 2008. IEEE Computer Society.
-
(2008)
ISCA '08: Proceedings of the 35th International Symposium on Computer Architecture
, pp. 153-164
-
-
Vantrease, D.1
Schreiber, R.2
-
29
-
-
19744378261
-
Micrometre-scale silicon electro-optic modulator
-
DOI 10.1038/nature03569
-
Q. Xu, B. Schmidt, et al. Micrometre-scale silicon electro-optic modulator. Nature, 435(7040):325-327, 2005. (Pubitemid 40745539)
-
(2005)
Nature
, vol.435
, Issue.7040
, pp. 325-327
-
-
Xu, Q.1
Schmidt, B.2
Pradhan, S.3
Lipson, M.4
-
30
-
-
67149126075
-
Athermalizing and trimming of slotted silicon microring resonators with uvsensitive pmma upper-cladding
-
Sept.1
-
L. Zhou, K. Okamoto, and S. Yoo. Athermalizing and trimming of slotted silicon microring resonators with uvsensitive pmma upper-cladding. Photonics Technology Letters, IEEE, 21(17):1175-1177, Sept.1, 2009.
-
(2009)
Photonics Technology Letters, IEEE
, vol.21
, Issue.17
, pp. 1175-1177
-
-
Zhou, L.1
Okamoto, K.2
Yoo, S.3
|