-
2
-
-
10944258492
-
All-optical switching on a silicon chip
-
V. Almeida, C. Barrios, R. Panepucci, M. Lipson, M. Foster, D. Ouzounov, and A. Gaeta. All-optical switching on a silicon chip. Optics Letters, 29:2867-2869, 2004.
-
(2004)
Optics Letters
, vol.29
, pp. 2867-2869
-
-
Almeida, V.1
Barrios, C.2
Panepucci, R.3
Lipson, M.4
Foster, M.5
Ouzounov, D.6
Gaeta, A.7
-
3
-
-
34547471544
-
Design tradeoffs for tiled CMP on-chip networks
-
Carns, Queensland, Australia
-
J. Balfour and W. J. Dally. Design tradeoffs for tiled CMP on-chip networks. In Proc. of the Int'l Conference on Supercomputing (ICS), pages 187-198, Carns, Queensland, Australia, 2006.
-
(2006)
Proc. of the Int'l Conference on Supercomputing (ICS)
, pp. 187-198
-
-
Balfour, J.1
Dally, W.J.2
-
4
-
-
0038155155
-
Low-power-consumption short-length and high-modulation-depth silicon electro-optic modulator
-
C. A. Barrios, V. R. Almeida, and M. Lipson. Low-power-consumption short-length and high-modulation-depth silicon electro-optic modulator. Journal of Lightwave Technology, 21(4):1089-1098, 2003.
-
(2003)
Journal of Lightwave Technology
, vol.21
, Issue.4
, pp. 1089-1098
-
-
Barrios, C.A.1
Almeida, V.R.2
Lipson, M.3
-
5
-
-
57849096236
-
Building manycore processor-to-dram networks with monolithic silicon photonics
-
Stanford, CA
-
C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. Holzwarth, M. Popovic, H. Li, H. Smith, J. Hoyt, F. Kartner, R. Ram, V. Stojanovic, and K. Asanovic. Building manycore processor-to-dram networks with monolithic silicon photonics. In Proc. of Hot Interconnects, pages 21-30, Stanford, CA, 2008.
-
(2008)
Proc. of Hot Interconnects
, pp. 21-30
-
-
Batten, C.1
Joshi, A.2
Orcutt, J.3
Khilo, A.4
Moss, B.5
Holzwarth, C.6
Popovic, M.7
Li, H.8
Smith, H.9
Hoyt, J.10
Kartner, F.11
Ram, R.12
Stojanovic, V.13
Asanovic, K.14
-
6
-
-
49549108733
-
Tile64 processor: A 64-core soc with mesh interconnect
-
S. Bell, B. Edwards, J. Amann, R. Conlin, K. Joyce, V. Leung, J. Mackay, M. Reif, L. Bao, J. Brown, M. Mattina, C.-C. Miao, C. Ramey, D. Wentzlaff, W. Anderson, E. Berger, N. Fairbanks, D. Khan, F. Montenegro, J. Stickney, and J. Zook. Tile64 processor: A 64-core soc with mesh interconnect. In Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE Int'l, pages 88-598, 2008.
-
(2008)
Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE Int'l
, pp. 88-598
-
-
Bell, S.1
Edwards, B.2
Amann, J.3
Conlin, R.4
Joyce, K.5
Leung, V.6
Mackay, J.7
Reif, M.8
Bao, L.9
Brown, J.10
Mattina, M.11
Miao, C.-C.12
Ramey, C.13
Wentzlaff, D.14
Anderson, W.15
Berger, E.16
Fairbanks, N.17
Khan, D.18
Montenegro, F.19
Stickney, J.20
Zook, J.21
more..
-
8
-
-
70450230786
-
Phastlane: A rapid transit optical routing network
-
M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi. Phastlane: A rapid transit optical routing network. In Proc. of the Int'l Symposium on Computer Architecture (ISCA), Austin, TX, 2009.
-
Proc. of the Int'l Symposium on Computer Architecture (ISCA), Austin, TX, 2009
-
-
Cianchetti, M.J.1
Kerekes, J.C.2
Albonesi, D.H.3
-
10
-
-
64949130713
-
Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs
-
Raleigh, NC, USA, Feb.
-
R. Das, S. Eachempati, A. Mishra, V. Narayanan, and C. Das. Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs. In Int'l Symposium on High-Performance Computer Architecture (HPCA), pages 175-186, Raleigh, NC, USA, Feb. 2009.
-
(2009)
Int'l Symposium on High-Performance Computer Architecture (HPCA)
, pp. 175-186
-
-
Das, R.1
Eachempati, S.2
Mishra, A.3
Narayanan, V.4
Das, C.5
-
11
-
-
70350593684
-
Analysis of challenges for on-chip optical interconnects
-
New York, NY, USA ACM
-
R. K. Dokania and A. B. Apsel. Analysis of challenges for on-chip optical interconnects. In GLSVLSI '09: Proceedings of the 19th ACM Great Lakes symposium on VLSI, pages 275-280, New York, NY, USA, 2009. ACM.
-
(2009)
GLSVLSI '09: Proceedings of the 19th ACM Great Lakes Symposium on VLSI
, pp. 275-280
-
-
Dokania, R.K.1
Apsel, A.B.2
-
12
-
-
36348975404
-
Implementation and evaluation of on-chip network architectures
-
San Jose, CA
-
P. Gratz, C. Kim, R. McDonald, S. Keckler, and D. Burger. Implementation and evaluation of on-chip network architectures. In Int'l Conference on Computer Design (ICCD), pages 477-484, San Jose, CA, 2006.
-
(2006)
Int'l Conference on Computer Design (ICCD)
, pp. 477-484
-
-
Gratz, P.1
Kim, C.2
McDonald, R.3
Keckler, S.4
Burger, D.5
-
13
-
-
70349792919
-
Silicon-photonic clos networks for global on-chip communication
-
A. Joshi, C. Batten, Y.-J. Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic. Silicon-photonic clos networks for global on-chip communication. In IEEE Int'l Symposium on Network-on-Chip (NOCS), San Diego, CA, 2009.
-
IEEE Int'l Symposium on Network-on-Chip (NOCS), San Diego, CA, 2009
-
-
Joshi, A.1
Batten, C.2
Kwon, Y.-J.3
Beamer, S.4
Shamim, I.5
Asanovic, K.6
Stojanovic, V.7
-
14
-
-
34249821314
-
Leveraging optical technology in future bus-based chip multiprocessors
-
Orlando, FL
-
N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi. Leveraging optical technology in future bus-based chip multiprocessors. In IEEE/ACM Int'l Symposium on Microarchitecture (MICRO), pages 492-503, Orlando, FL, 2006.
-
(2006)
IEEE/ACM Int'l Symposium on Microarchitecture (MICRO)
, pp. 492-503
-
-
Kirman, N.1
Kirman, M.2
Dokania, R.K.3
Martinez, J.F.4
Apsel, A.B.5
Watkins, M.A.6
Albonesi, D.H.7
-
15
-
-
70349974617
-
Exploring concentration and channel slicing in on-chip network router
-
P. Kumar, Y. Pan, J. Kim, G. Memik, and A. Choudhary. Exploring concentration and channel slicing in on-chip network router. In IEEE Int'l Symposium on Network-on-Chip (NOCS), San Diego, CA, 2009.
-
IEEE Int'l Symposium on Network-on-Chip (NOCS), San Diego, CA, 2009
-
-
Kumar, P.1
Pan, Y.2
Kim, J.3
Memik, G.4
Choudhary, A.5
-
16
-
-
33748870886
-
Multifacet's general execution-driven multiprocessor simulator (gems) toolset
-
Sep.
-
M. M. Martin, D. J. Sorin, B. M. Beckmann, M. R. Marty, M. Xu, A. R. Alameldeen, K. E. Moore, M. D. Hill, and D. A. Wood. Multifacet's general execution-driven multiprocessor simulator (gems) toolset. ACM SIGARCH Computer Architecture News, 33(4):92-99, Sep. 2005.
-
(2005)
ACM SIGARCH Computer Architecture News
, vol.33
, Issue.4
, pp. 92-99
-
-
Martin, M.M.1
Sorin, D.J.2
Beckmann, B.M.3
Marty, M.R.4
Xu, M.5
Alameldeen, A.R.6
Moore, K.E.7
Hill, M.D.8
Wood, D.A.9
-
17
-
-
47349098275
-
Minebench: A benchmark suite for data mining workloads
-
San Jose, CA
-
R. Narayanan, B. Ozisikyilmaz, J. Zambreno, G. Memik, and A. Choudhary. Minebench: A benchmark suite for data mining workloads. In IEEE Int'l Symposium on Workload Characterization (IISCW), pages 182-188, San Jose, CA, 2006.
-
(2006)
IEEE Int'l Symposium on Workload Characterization (IISCW)
, pp. 182-188
-
-
Narayanan, R.1
Ozisikyilmaz, B.2
Zambreno, J.3
Memik, G.4
Choudhary, A.5
-
18
-
-
70549111625
-
Firefly: Illuminating future network-on-chip with nanophotonics
-
Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary. Firefly: Illuminating future network-on-chip with nanophotonics. In Proc. of the Int'l Symposium on Computer Architecture (ISCA), Austin, TX, 2009.
-
Proc. of the Int'l Symposium on Computer Architecture (ISCA), Austin, TX, 2009
-
-
Pan, Y.1
Kumar, P.2
Kim, J.3
Memik, G.4
Zhang, Y.5
Choudhary, A.6
-
20
-
-
34547238619
-
The case for low-power photonic networks-on-chip
-
San Diego, CA
-
A. Shacham, K. Bergman, and L. P. Carloni. The case for low-power photonic networks-on-chip. In Proc. of Design Automation Conference (DAC), pages 132-135, San Diego, CA, 2007.
-
(2007)
Proc. of Design Automation Conference (DAC)
, pp. 132-135
-
-
Shacham, A.1
Bergman, K.2
Carloni, L.P.3
-
22
-
-
85008053864
-
An 80-Tile sub-100-w teraflops processor in 65-nm cmos
-
S. R. Vangal, J. Howard, G. Ruhl, S. Dighe, H.Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar. An 80-Tile sub-100-w teraflops processor in 65-nm cmos. Solid-State Circuits, IEEE Journal of, 43(1):29-41, 2008.
-
(2008)
Solid-State Circuits, IEEE Journal of
, vol.43
, Issue.1
, pp. 29-41
-
-
Vangal, S.R.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Singh, A.8
Jacob, T.9
Jain, S.10
Erraguntla, V.11
Roberts, C.12
Hoskote, Y.13
Borkar, N.14
Borkar, S.15
-
23
-
-
52649100126
-
System implications of emerging nanophotonic technology
-
Beijing, China
-
D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. L. Binkert, R. G. Beausoleil, and J. H. Ahn. Corona: System implications of emerging nanophotonic technology. In Proc. of the Int'l Symposium on Computer Architecture (ISCA), pages 153-164, Beijing, China, 2008.
-
(2008)
Proc. of the Int'l Symposium on Computer Architecture (ISCA)
, pp. 153-164
-
-
Vantrease, D.1
Schreiber, R.2
Monchiero, M.3
McLaren, M.4
Jouppi, N.P.5
Fiorentino, M.6
Davis, A.7
Binkert, N.L.8
Beausoleil, R.G.9
Ahn Corona, J.H.10
-
24
-
-
0037225560
-
A power model for routers: Modeling alpha 21364 and infiniband routers
-
H.-S.Wang, L.-S. Peh, and S. Malik. A power model for routers: Modeling alpha 21364 and infiniband routers. IEEE Micro, 23(1):26-35, 2003.
-
(2003)
IEEE Micro
, vol.23
, Issue.1
, pp. 26-35
-
-
Wang, H.-S.1
Peh, L.-S.2
Malik, S.3
-
25
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
Santa Margherita Ligure, Italy, Jun.
-
S. Woo, M. Ohara, E. Torrie, J. Singh, and A. Gupta. The SPLASH-2 programs: Characterization and methodological considerations. In Proc. of the Int'l Symposium on Computer Architecture (ISCA), pages 24-36, Santa Margherita Ligure, Italy, Jun. 1995.
-
(1995)
Proc. of the Int'l Symposium on Computer Architecture (ISCA)
, pp. 24-36
-
-
Woo, S.1
Ohara, M.2
Torrie, E.3
Singh, J.4
Gupta, A.5
-
26
-
-
70350706106
-
Spectrum: A hybrid nanophotonic-electric onchip network
-
L. Zheng, A. Mickelson, L. Shang, M. Vachharajani, D. Filipovic, W. Park, and Y. Sun. Spectrum: A hybrid nanophotonic-electric onchip network. In Proc. of Design Automation Conference (DAC), San Francisco, CA, Jun 2009.
-
Proc. of Design Automation Conference (DAC), San Francisco, CA, Jun 2009
-
-
Zheng, L.1
Mickelson, A.2
Shang, L.3
Vachharajani, M.4
Filipovic, D.5
Park, W.6
Sun, Y.7
|