메뉴 건너뛰기




Volumn 16, Issue 3, 1998, Pages 234-270

Using Value Prediction to Increase the Power of Speculative Execution Hardware

Author keywords

C.0 Computer Systems Organization : General system architectures; C.1.1 Processor Architectures : Single Data Stream Architectures RISC; C.5.3 Computer System Implementation : Microcomputers microprocessors; Design; Experimentation; Measurement

Indexed keywords

COMPUTER OPERATING SYSTEMS; REDUCED INSTRUCTION SET COMPUTING;

EID: 0032132091     PISSN: 07342071     EISSN: None     Source Type: Journal    
DOI: 10.1145/290409.290411     Document Type: Article
Times cited : (41)

References (39)
  • 1
    • 0016313256 scopus 로고
    • A comparison of list scheduling for parallel processing systems
    • Dec.
    • ADAM, T. L., CHANDY, K. M., AND DICKSON, J. R. 1974. A comparison of list scheduling for parallel processing systems. Commun. ACM 17, 12 (Dec.), 685-690.
    • (1974) Commun. ACM , vol.17 , Issue.12 , pp. 685-690
    • Adam, T.L.1    Chandy, K.M.2    Dickson, J.R.3
  • 3
    • 84976702085 scopus 로고
    • Perfect pipelining: A new loop parallelization technique
    • (New York, Mar.), H. Ganzinger, Ed.
    • AIKEN, A. AND NICOLAU, A. 1988. Perfect pipelining: A new loop parallelization technique. In Proceedings of the 2nd European Symposium on Programming (New York, Mar.), H. Ganzinger, Ed. 221-235.
    • (1988) Proceedings of the 2nd European Symposium on Programming , pp. 221-235
    • Aiken, A.1    Nicolau, A.2
  • 4
    • 0028508203 scopus 로고
    • Instruction scheduling in the TOBEY compiler
    • Sept.
    • BLAINEY, R. J. 1994. Instruction scheduling in the TOBEY compiler. IBM J. Res. Dev. 38, 5 (Sept.), 577-593.
    • (1994) IBM J. Res. Dev. , vol.38 , Issue.5 , pp. 577-593
    • Blainey, R.J.1
  • 6
    • 0019610938 scopus 로고
    • An approach to scientific array processing: The architectural design of the AP-120B/FPS family
    • Sept.
    • CHARLESWORTH, A. E. 1981. An approach to scientific array processing: The architectural design of the AP-120B/FPS family. Computer 14, 9 (Sept.), 18-27.
    • (1981) Computer , vol.14 , Issue.9 , pp. 18-27
    • Charlesworth, A.E.1
  • 7
    • 0029308368 scopus 로고
    • Effective hardware-based data prefetching for high-performance processors
    • May
    • CHEN, T. F. AND BEAR, J. L. 1995. Effective hardware-based data prefetching for high-performance processors. IEEE Trans. Comput. 44, 5 (May), 609-623.
    • (1995) IEEE Trans. Comput. , vol.44 , Issue.5 , pp. 609-623
    • Chen, T.F.1    Bear, J.L.2
  • 8
    • 0019595341 scopus 로고
    • Some experiments in local microcode compaction for horizontal machines
    • July
    • DAVIDSON, S., LANDSKOV, D., SHRIVER, B. D., AND MALLET, P. W. 1981. Some experiments in local microcode compaction for horizontal machines. IEEE Trans. Comput. C-30, 7 (July), 460-477.
    • (1981) IEEE Trans. Comput. , vol.C-30 , Issue.7 , pp. 460-477
    • Davidson, S.1    Landskov, D.2    Shriver, B.D.3    Mallet, P.W.4
  • 11
    • 0029292848 scopus 로고
    • Superscalar instruction execution in the 21164 Alpha microprocessor
    • Apr.
    • EDMONDSON, J. H., RUBINFELD, P., PRESTON, R., AND RAJAGOPALAN, V. 1995. Superscalar instruction execution in the 21164 Alpha microprocessor. IEEE Micro 15, 2 (Apr.), 33-43.
    • (1995) IEEE Micro , vol.15 , Issue.2 , pp. 33-43
    • Edmondson, J.H.1    Rubinfeld, P.2    Preston, R.3    Rajagopalan, V.4
  • 15
    • 0026962180 scopus 로고
    • Stride directed prefetching in scalar processors
    • MICRO 25, Portland, OR, Dec. 1-4. IEEE Computer Society Press, Los Alamitos, CA
    • FUAND, J. W. C. AND PATEL, J. H. 1992. Stride directed prefetching in scalar processors. In Proceedings of the 25th Annual International Symposium on Microarchitecture (MICRO 25, Portland, OR, Dec. 1-4). IEEE Computer Society Press, Los Alamitos, CA, 102-110.
    • (1992) Proceedings of the 25th Annual International Symposium on Microarchitecture , pp. 102-110
    • Fuand, J.W.C.1    Patel, J.H.2
  • 16
    • 0004100570 scopus 로고    scopus 로고
    • Speculative execution based on value prediction
    • Electrical Engineering Department, Technion - Israel Institute of Technology, Haifa, Israel
    • GABBAY, F. AND MENDELSON, A. 1996. Speculative execution based on value prediction. Tech. Rep. 1080. Electrical Engineering Department, Technion - Israel Institute of Technology, Haifa, Israel.
    • (1996) Tech. Rep. 1080
    • Gabbay, F.1    Mendelson, A.2
  • 20
    • 0002284699 scopus 로고
    • Intel's P6 uses decoupled superscalar design
    • Feb.
    • GWENNAP, L. 1995. Intel's P6 uses decoupled superscalar design. Microprocess. Rep. 9, 2 (Feb.).
    • (1995) Microprocess. Rep. , vol.9 , Issue.2
    • Gwennap, L.1
  • 21
    • 0016644685 scopus 로고
    • Look-ahead processors
    • Dec.
    • KELLER, R. M. 1975. Look-ahead processors. ACM Comput. Surv. 7, 4 (Dec.), 177-195.
    • (1975) ACM Comput. Surv. , vol.7 , Issue.4 , pp. 177-195
    • Keller, R.M.1
  • 23
    • 0042650298 scopus 로고
    • Software pipelining: An effective scheduling technique for VLIW machines
    • Atlanta, GA, June 22-24, R. L. Wexelblat, Ed. ACM Press, New York, NY
    • LAM, M. S. 1988. Software pipelining: An effective scheduling technique for VLIW machines. In Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI '88, Atlanta, GA, June 22-24), R. L. Wexelblat, Ed. ACM Press, New York, NY, 318-328.
    • (1988) Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI '88) , pp. 318-328
    • Lam, M.S.1
  • 29
    • 0003015894 scopus 로고
    • Some scheduling techniques and an easily schedulable horizontal architecture for high performance scientific computing
    • RAU, B. R. AND GLAESER, C. D. 1981. Some scheduling techniques and an easily schedulable horizontal architecture for high performance scientific computing. In Proceedings of the 14th Annual Workshop on Microprogramming. 183-198.
    • (1981) Proceedings of the 14th Annual Workshop on Microprogramming , pp. 183-198
    • Rau, B.R.1    Glaeser, C.D.2
  • 31
    • 0347739634 scopus 로고
    • Introduction to Shade
    • Revision A of 1/Apr/92. Sun Microsystems, Inc., Mountain View, CA
    • SUN MICROSYSTEMS. 1992. Introduction to Shade. Tech. Rep. 415-960-1300 (Revision A of 1/Apr/92). Sun Microsystems, Inc., Mountain View, CA.
    • (1992) Tech. Rep. 415-960-1300
  • 32
    • 0021204160 scopus 로고
    • Branch prediction strategies and branch-target buffer design
    • Jan.
    • SMITH, A. AND LEE, J. 1984. Branch prediction strategies and branch-target buffer design. Computer 17, 1 (Jan.), 6-22.
    • (1984) Computer , vol.17 , Issue.1 , pp. 6-22
    • Smith, A.1    Lee, J.2
  • 34
    • 0003081830 scopus 로고
    • An efficient algorithm for exploiting multiple arithmetic units
    • Jan.
    • TOMASULO, R. M. 1967. An efficient algorithm for exploiting multiple arithmetic units. IBM J. Res. Dev. 11, 1 (Jan.), 25-33.
    • (1967) IBM J. Res. Dev. , vol.11 , Issue.1 , pp. 25-33
    • Tomasulo, R.M.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.