메뉴 건너뛰기




Volumn 13-17-June-2015, Issue , 2015, Pages 79-91

Page overlays: An enhanced virtual memory framework to enable fine-grained memory management

Author keywords

[No Author keywords available]

Indexed keywords

COMPUTER ARCHITECTURE; INDUSTRIAL MANAGEMENT; MEMORY ARCHITECTURE;

EID: 84960075382     PISSN: 10636897     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1145/2749469.2750379     Document Type: Conference Paper
Times cited : (37)

References (63)
  • 2
    • 84960180404 scopus 로고    scopus 로고
    • Memsim
    • Memsim. http://safari.ece.cmu.edu/tools.html, 2012.
    • (2012)
  • 7
    • 0024860162 scopus 로고
    • Translation lookaside buuer consistency: A software approach
    • D. L. Black, R. F. Rashid, D. B. Golub, and C. R. Hill. Translation lookaside buUer consistency: A software approach. In ASPLOS, 1989.
    • (1989) ASPLOS
    • Black, D.L.1    Rashid, R.F.2    Golub, D.B.3    Hill, C.R.4
  • 8
    • 0032623866 scopus 로고    scopus 로고
    • Interoperation of copy avoidance in network and Vle I/O
    • J. C. Brustoloni. Interoperation of copy avoidance in network and Vle I/O. In INFOCOM, volume 2, 1999.
    • (1999) INFOCOM , vol.2
    • Brustoloni, J.C.1
  • 10
    • 0031237070 scopus 로고    scopus 로고
    • Virtual-address caches part 1: Problems and solutions in uniprocessors
    • M. Cekleov and M. Dubois. Virtual-Address Caches Part 1: Problems and Solutions in Uniprocessors. IEEE Micro, 17(5), 1997.
    • (1997) IEEE Micro , vol.17 , Issue.5
    • Cekleov, M.1    Dubois, M.2
  • 11
    • 0001945085 scopus 로고    scopus 로고
    • Automatic I/O hint generation through speculative execution
    • F. Chang and G. A. Gibson. Automatic I/O Hint Generation Through Speculative Execution. In OSDI, 1999.
    • (1999) OSDI
    • Chang, F.1    Gibson, G.A.2
  • 12
  • 13
    • 66749179303 scopus 로고    scopus 로고
    • Online design bug detection: Rtl analysis, wexible mechanisms, and evaluation
    • K. Constantinides, O. Mutlu, and T. Austin. Online design bug detection: Rtl analysis, Wexible mechanisms, and evaluation. In MICRO, 2008.
    • (2008) MICRO
    • Constantinides, K.1    Mutlu, O.2    Austin, T.3
  • 14
    • 47349110547 scopus 로고    scopus 로고
    • Software-based online detection of hardware defects mechanisms, architectural support, and evaluation
    • K. Constantinides, O. Mutlu, T. Austin, and V. Bertacco. Software-Based Online Detection of Hardware Defects Mechanisms, Architectural Support, and Evaluation. In MICRO, 2007.
    • (2007) MICRO
    • Constantinides, K.1    Mutlu, O.2    Austin, T.3    Bertacco, V.4
  • 15
    • 84885109928 scopus 로고    scopus 로고
    • Intel architecture instruction set extensions programming reference, chapter 8
    • Intel Corporation,Sep
    • Intel Corporation. Intel Architecture Instruction Set Extensions Programming Reference, chapter 8. Intel Transactional Synchronization Extensions. Sep 2012.
    • (2012) Intel Transactional Synchronization Extensions
  • 16
    • 77953973261 scopus 로고    scopus 로고
    • Standard Performance Evaluation Corporation
    • Standard Performance Evaluation Corporation. SPEC CPU2006 Benchmark Suite. www.spec.org/cpu2006, 2006.
    • (2006) SPEC CPU2006 Benchmark Suite
  • 17
    • 81355161778 scopus 로고    scopus 로고
    • The university of Florida sparse matrix collection
    • T. A. Davis and Y. Hu. The University of Florida Sparse Matrix Collection. TOMS, 38(1), 2011.
    • (2011) TOMS , vol.38 , Issue.1
    • Davis, T.A.1    Hu, Y.2
  • 19
    • 84881374819 scopus 로고    scopus 로고
    • A survey of fault tolerance mechanisms and checkpoint/restart implementations for high performance computing systems
    • I. P. Egwutuoha, D. Levy, B. Selic, and S. Chen. A Survey of Fault Tolerance Mechanisms and Checkpoint/Restart Implementations for High Performance Computing Systems. Journal of Supercomputing, 2013.
    • (2013) Journal of Supercomputing
    • Egwutuoha, I.P.1    Levy, D.2    Selic, B.3    Chen, S.4
  • 21
    • 27544435752 scopus 로고    scopus 로고
    • A robust main-memory compression scheme
    • M. Ekman and P. Stenstrom. A Robust Main-Memory Compression Scheme. In ISCA, 2005.
    • (2005) ISCA
    • Ekman, M.1    Stenstrom, P.2
  • 22
    • 0000078545 scopus 로고
    • Dynamic storage allocation in the atlas computer, including an automatic use of a backing store
    • J. Fotheringham. Dynamic Storage Allocation in the Atlas Computer, Including an Automatic Use of a Backing Store. Commun. ACM, 1961.
    • (1961) Commun. ACM
    • Fotheringham, J.1
  • 25
    • 0027262011 scopus 로고
    • Transactional memory: Architectural support for lock-free data structures
    • M. Herlihy and J. E. B. Moss. Transactional Memory: Architectural Support for Lock-free Data Structures. In ISCA, 1993.
    • (1993) ISCA
    • Herlihy, M.1    Moss, J.E.B.2
  • 27
    • 84960180408 scopus 로고    scopus 로고
    • Sparse matrix storage formats
    • Intel
    • Intel. Sparse Matrix Storage Formats, Intel Math Kernel Library. https: //software.intel.com/en-us/node/471374.
    • Intel Math Kernel Library
  • 28
    • 77954998134 scopus 로고    scopus 로고
    • High performance cache replacement using re-reference interval prediction (rrip)
    • A. Jaleel, K. B. Theobald, S. C. Steely, Jr., and J. Emer. High performance cache replacement using re-reference interval prediction (rrip). In ISCA, 2010.
    • (2010) ISCA
    • Jaleel, A.1    Theobald, K.B.2    Steely, S.C.3    Emer, J.4
  • 30
    • 84912075623 scopus 로고    scopus 로고
    • Mitigating write disturbance in super-dense phase change memories
    • L. Jiang, Y. Zhang, and J. Yang. Mitigating Write Disturbance in Super-Dense Phase Change Memories. In DSN, 2014.
    • (2014) DSN
    • Jiang, L.1    Zhang, Y.2    Yang, J.3
  • 35
    • 84860332549 scopus 로고    scopus 로고
    • DRAMaware last-level cache writeback: Reducing write-caused interference in memory systems
    • University of Texas at Austin
    • C. J. Lee, V. Narasiman, E. Ebrahimi, O. Mutlu, and Y. N. Patt. DRAMaware last-level cache writeback: Reducing write-caused interference in memory systems. Technical Report TR-HPS-2010-2, University of Texas at Austin, 2010.
    • (2010) Technical Report TR-HPS-2010-2
    • Lee, C.J.1    Narasiman, V.2    Ebrahimi, E.3    Mutlu, O.4    Patt, Y.N.5
  • 36
    • 67650081268 scopus 로고    scopus 로고
    • Architectural support for shadow memory in multiprocessors
    • V. Nagarajan and R. Gupta. Architectural Support for Shadow Memory in Multiprocessors. In VEE, 2009.
    • (2009) VEE
    • Nagarajan, V.1    Gupta, R.2
  • 37
    • 84885629677 scopus 로고    scopus 로고
    • Speculative execution in a distributed Vle system
    • E. B. Nightingale, P. M. Chen, and J. Flinn. Speculative execution in a distributed Vle system. In SOSP, 2005.
    • (2005) SOSP
    • Nightingale, E.B.1    Chen, P.M.2    Flinn, J.3
  • 39
    • 0036290620 scopus 로고    scopus 로고
    • Revive: Cost-eUective architectural support for rollback recovery in shared-memory multiprocessors
    • M. Prvulovic, Z. Zhang, and J. Torrellas. Revive: Cost-eUective architectural support for rollback recovery in shared-memory multiprocessors. In ISCA, 2002.
    • (2002) ISCA
    • Prvulovic, M.1    Zhang, Z.2    Torrellas, J.3
  • 40
    • 84960180409 scopus 로고    scopus 로고
    • Memory-mapped I/O
    • John Wiley and Sons Ltd., Chichester, UK
    • E. D. Reilly. Memory-mapped I/O. In Encyclopedia of Computer Science, page 1152. John Wiley and Sons Ltd., Chichester, UK.
    • Encyclopedia of Computer Science , pp. 1152
    • Reilly, E.D.1
  • 41
    • 77952572235 scopus 로고    scopus 로고
    • Unived instruction/translation/data (unitd) coherence: One protocol to rule them all
    • B. Romanescu, A. R. Lebeck, D. J. Sorin, and A. Bracy. UNiVed Instruction/Translation/Data (UNITD) Coherence: One Protocol to Rule Them All. In HPCA, 2010.
    • (2010) HPCA
    • Romanescu, B.1    Lebeck, A.R.2    Sorin, D.J.3    Bracy, A.4
  • 43
    • 0031272525 scopus 로고    scopus 로고
    • Eraser: A dynamic data race detector for multithreaded programs
    • November
    • S. Savage, M. Burrows, G. Nelson, P. Sobalvarro, and T. Anderson. Eraser: A Dynamic Data Race Detector for Multithreaded Programs. TOCS, 15(4), November 1997.
    • (1997) TOCS , vol.15 , Issue.4
    • Savage, S.1    Burrows, M.2    Nelson, G.3    Sobalvarro, P.4    Anderson, T.5
  • 45
    • 84867569482 scopus 로고    scopus 로고
    • The evicted-address filter: A unived mechanism to address both cache pollution and thrashing
    • V. Seshadri, O. Mutlu, M. A. Kozuch, and T. C. Mowry. The Evicted-Address Filter: A UniVed Mechanism to Address Both Cache Pollution and Thrashing. In PACT, 2012.
    • (2012) PACT
    • Seshadri, V.1    Mutlu, O.2    Kozuch, M.A.3    Mowry, T.C.4
  • 46
    • 0036953769 scopus 로고    scopus 로고
    • Automatically characterizing large scale program behavior
    • T. Sherwood, E. Perelman, G. Hamerly, and B. Calder. Automatically Characterizing Large Scale Program Behavior. In ASPLOS, 2002.
    • (2002) ASPLOS
    • Sherwood, T.1    Perelman, E.2    Hamerly, G.3    Calder, B.4
  • 47
    • 33845907636 scopus 로고    scopus 로고
    • An integrated framework for dependable and revivable architectures using multicore processors
    • W. Shi, H.-H. S. Lee, L. Falk, and M. Ghosh. An Integrated Framework for Dependable and Revivable Architectures Using Multicore Processors. In ISCA, 2006.
    • (2006) ISCA
    • Shi, W.1    Lee, H.-H.S.2    Falk, L.3    Ghosh, M.4
  • 50
    • 0036292677 scopus 로고    scopus 로고
    • Safetynet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery
    • D. J. Sorin, M. M. K. Martin, M. D. Hill, and D. A. Wood. Safetynet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery. In ISCA, 2002.
    • (2002) ISCA
    • Sorin, D.J.1    Martin, M.M.K.2    Hill, M.D.3    Wood, D.A.4
  • 51
    • 34547655822 scopus 로고    scopus 로고
    • Feedback directed prefetching: Improving the performance and bandwidth-eXciency of hardware prefetchers
    • S. Srinath, O. Mutlu, H. Kim, and Y. N. Patt. Feedback directed prefetching: Improving the performance and bandwidth-eXciency of hardware prefetchers. In HPCA, 2007.
    • (2007) HPCA
    • Srinath, S.1    Mutlu, O.2    Kim, H.3    Patt, Y.N.4
  • 52
    • 79959917961 scopus 로고    scopus 로고
    • Flashback: A lightweight extension for rollback and deterministic replay for software debugging
    • S. M. Srinivasan, S. Kandula, C. R. Andrews, and Y. Zhou. Flashback: A Lightweight Extension for Rollback and Deterministic Replay for Software Debugging. In USENIX ATC, 2004.
    • (2004) USENIX ATC
    • Srinivasan, S.M.1    Kandula, S.2    Andrews, C.R.3    Zhou, Y.4
  • 53
    • 0024863174 scopus 로고
    • Sheaved memory: Architectural support for state saving and restoration in pages systems
    • M. E. Staknis. Sheaved Memory: Architectural Support for State Saving and Restoration in Pages Systems. In ASPLOS, 1989.
    • (1989) ASPLOS
    • Staknis, M.E.1
  • 55
    • 0025438154 scopus 로고
    • Translation-lookaside buuer consistency
    • P. J. Teller. Translation-Lookaside BuUer Consistency. IEEE Computer, 23(6), 1990.
    • (1990) IEEE Computer , vol.23 , Issue.6
    • Teller, P.J.1
  • 56
    • 57749197593 scopus 로고    scopus 로고
    • Flexitaint: A programmable accelerator for dynamic taint propagation
    • G. Venkataramani, I. Doudalis, D. Solihin, and M. Prvulovic. FlexiTaint: A Programmable Accelerator for Dynamic Taint Propagation. In HPCA, 2008.
    • (2008) HPCA
    • Venkataramani, G.1    Doudalis, I.2    Solihin, D.3    Prvulovic, M.4
  • 58
    • 20344397073 scopus 로고    scopus 로고
    • Memory resource management in vmware esx server
    • C. A. Waldspurger. Memory Resource Management in VMware ESX Server. OSDI, 2002.
    • (2002) OSDI
    • Waldspurger, C.A.1
  • 60
    • 79955974508 scopus 로고    scopus 로고
    • Operating system support for application-speciVc speculation
    • B. Wester, P. M. Chen, and J. Flinn. Operating system support for application-speciVc speculation. In EuroSys, 2011.
    • (2011) EuroSys
    • Wester, B.1    Chen, P.M.2    Flinn, J.3
  • 63
    • 77954714780 scopus 로고    scopus 로고
    • Excient memory shadowing for 64-bit architectures
    • Q. Zhao, D. Bruening, and S. Amarasinghe. EXcient Memory Shadowing for 64-bit Architectures. In ISMM, 2010.
    • (2010) ISMM
    • Zhao, Q.1    Bruening, D.2    Amarasinghe, S.3


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.