-
2
-
-
84960180404
-
-
Memsim
-
Memsim. http://safari.ece.cmu.edu/tools.html, 2012.
-
(2012)
-
-
-
3
-
-
28444494370
-
Unbounded transactional memory
-
C. S. Ananian, K. Asanovic, B. C. Kuszmaul, C. E. Leiserson, and S. Lie. Unbounded Transactional Memory. In HPCA, 2005.
-
(2005)
HPCA
-
-
Ananian, C.S.1
Asanovic, K.2
Kuszmaul, B.C.3
Leiserson, C.E.4
Lie, S.5
-
4
-
-
38149021673
-
Xen and the art of virtualization
-
P. Barham, B. Dragovic, K. Fraser, S. Hand, T. Harris, A. Ho, R. Neugebauer, I. Pratt, and A. WarVeld. Xen and the Art of Virtualization. In SOSP, 2003.
-
(2003)
SOSP
-
-
Barham, P.1
Dragovic, B.2
Fraser, K.3
Hand, S.4
Harris, T.5
Ho, A.6
Neugebauer, R.7
Pratt, I.8
WarVeld, A.9
-
5
-
-
84881179047
-
EXcient virtual memory for big memory servers
-
A. Basu, J. Gandhi, J. Chang, M. D. Hill, and M. M. Swift. EXcient Virtual Memory for Big Memory Servers. In ISCA, 2013.
-
(2013)
ISCA
-
-
Basu, A.1
Gandhi, J.2
Chang, J.3
Hill, M.D.4
Swift, M.M.5
-
6
-
-
74049098606
-
PLFS: A checkpoint filesystem for parallel applications
-
J. Bent, G. Gibson, G. Grider, B. McClelland, P. Nowoczynski, J. Nunez, M. Polte, and M. Wingate. PLFS: A Checkpoint Filesystem for Parallel Applications. In SC, 2009.
-
(2009)
SC
-
-
Bent, J.1
Gibson, G.2
Grider, G.3
McClelland, B.4
Nowoczynski, P.5
Nunez, J.6
Polte, M.7
Wingate, M.8
-
7
-
-
0024860162
-
Translation lookaside buuer consistency: A software approach
-
D. L. Black, R. F. Rashid, D. B. Golub, and C. R. Hill. Translation lookaside buUer consistency: A software approach. In ASPLOS, 1989.
-
(1989)
ASPLOS
-
-
Black, D.L.1
Rashid, R.F.2
Golub, D.B.3
Hill, C.R.4
-
8
-
-
0032623866
-
Interoperation of copy avoidance in network and Vle I/O
-
J. C. Brustoloni. Interoperation of copy avoidance in network and Vle I/O. In INFOCOM, volume 2, 1999.
-
(1999)
INFOCOM
, vol.2
-
-
Brustoloni, J.C.1
-
9
-
-
0032761638
-
Impulse: Building a smarter memory controller
-
J. Carter, W. Hsieh, L. Stoller, M. Swanson, L. Zhang, E. Brunvand, A. Davis, C.-C. Kuo, R. Kuramkote, M. Parker, L. Schaelicke, and T. Tateyama. Impulse: Building a Smarter Memory Controller. In HPCA, 1999.
-
(1999)
HPCA
-
-
Carter, J.1
Hsieh, W.2
Stoller, L.3
Swanson, M.4
Zhang, L.5
Brunvand, E.6
Davis, A.7
Kuo, C.-C.8
Kuramkote, R.9
Parker, M.10
Schaelicke, L.11
Tateyama, T.12
-
10
-
-
0031237070
-
Virtual-address caches part 1: Problems and solutions in uniprocessors
-
M. Cekleov and M. Dubois. Virtual-Address Caches Part 1: Problems and Solutions in Uniprocessors. IEEE Micro, 17(5), 1997.
-
(1997)
IEEE Micro
, vol.17
, Issue.5
-
-
Cekleov, M.1
Dubois, M.2
-
11
-
-
0001945085
-
Automatic I/O hint generation through speculative execution
-
F. Chang and G. A. Gibson. Automatic I/O Hint Generation Through Speculative Execution. In OSDI, 1999.
-
(1999)
OSDI
-
-
Chang, F.1
Gibson, G.A.2
-
12
-
-
84858769083
-
HICAMP: Architectural support for excient concurrencysafe shared structured data access
-
D. Cheriton, A. Firoozshahian, A. Solomatnikov, J. P. Stevenson, and Omid A. HICAMP: Architectural Support for EXcient Concurrencysafe Shared Structured Data Access. In ASPLOS, 2012.
-
(2012)
ASPLOS
-
-
Cheriton, D.1
Firoozshahian, A.2
Solomatnikov, A.3
Stevenson, J.P.4
Omid, A.5
-
13
-
-
66749179303
-
Online design bug detection: Rtl analysis, wexible mechanisms, and evaluation
-
K. Constantinides, O. Mutlu, and T. Austin. Online design bug detection: Rtl analysis, Wexible mechanisms, and evaluation. In MICRO, 2008.
-
(2008)
MICRO
-
-
Constantinides, K.1
Mutlu, O.2
Austin, T.3
-
14
-
-
47349110547
-
Software-based online detection of hardware defects mechanisms, architectural support, and evaluation
-
K. Constantinides, O. Mutlu, T. Austin, and V. Bertacco. Software-Based Online Detection of Hardware Defects Mechanisms, Architectural Support, and Evaluation. In MICRO, 2007.
-
(2007)
MICRO
-
-
Constantinides, K.1
Mutlu, O.2
Austin, T.3
Bertacco, V.4
-
15
-
-
84885109928
-
Intel architecture instruction set extensions programming reference, chapter 8
-
Intel Corporation,Sep
-
Intel Corporation. Intel Architecture Instruction Set Extensions Programming Reference, chapter 8. Intel Transactional Synchronization Extensions. Sep 2012.
-
(2012)
Intel Transactional Synchronization Extensions
-
-
-
16
-
-
77953973261
-
-
Standard Performance Evaluation Corporation
-
Standard Performance Evaluation Corporation. SPEC CPU2006 Benchmark Suite. www.spec.org/cpu2006, 2006.
-
(2006)
SPEC CPU2006 Benchmark Suite
-
-
-
17
-
-
81355161778
-
The university of Florida sparse matrix collection
-
T. A. Davis and Y. Hu. The University of Florida Sparse Matrix Collection. TOMS, 38(1), 2011.
-
(2011)
TOMS
, vol.38
, Issue.1
-
-
Davis, T.A.1
Hu, Y.2
-
19
-
-
84881374819
-
A survey of fault tolerance mechanisms and checkpoint/restart implementations for high performance computing systems
-
I. P. Egwutuoha, D. Levy, B. Selic, and S. Chen. A Survey of Fault Tolerance Mechanisms and Checkpoint/Restart Implementations for High Performance Computing Systems. Journal of Supercomputing, 2013.
-
(2013)
Journal of Supercomputing
-
-
Egwutuoha, I.P.1
Levy, D.2
Selic, B.3
Chen, S.4
-
20
-
-
84985315774
-
Yale sparse matrix package i: The symmetric codes
-
S. C. Eisenstat, M. C. Gursky, M. H. Schultz, and A. H. Sherman. Yale Sparse Matrix Package I: The Symmetric Codes. IJNME, 18(8), 1982.
-
(1982)
IJNME
, vol.18
, Issue.8
-
-
Eisenstat, S.C.1
Gursky, M.C.2
Schultz, M.H.3
Sherman, A.H.4
-
21
-
-
27544435752
-
A robust main-memory compression scheme
-
M. Ekman and P. Stenstrom. A Robust Main-Memory Compression Scheme. In ISCA, 2005.
-
(2005)
ISCA
-
-
Ekman, M.1
Stenstrom, P.2
-
22
-
-
0000078545
-
Dynamic storage allocation in the atlas computer, including an automatic use of a backing store
-
J. Fotheringham. Dynamic Storage Allocation in the Atlas Computer, Including an Automatic Use of a Backing Store. Commun. ACM, 1961.
-
(1961)
Commun. ACM
-
-
Fotheringham, J.1
-
24
-
-
70350628067
-
Diuerence engine: Harnessing memory redundancy in virtual machines
-
D. Gupta, S. Lee, M. Vrable, S. Savage, A. C. Snoeren, G. Varghese, G. M. Voelker, and A. Vahdat. DiUerence Engine: Harnessing Memory Redundancy in Virtual Machines. In OSDI, 2008.
-
(2008)
OSDI
-
-
Gupta, D.1
Lee, S.2
Vrable, M.3
Savage, S.4
Snoeren, A.C.5
Varghese, G.6
Voelker, G.M.7
Vahdat, A.8
-
25
-
-
0027262011
-
Transactional memory: Architectural support for lock-free data structures
-
M. Herlihy and J. E. B. Moss. Transactional Memory: Architectural Support for Lock-free Data Structures. In ISCA, 1993.
-
(1993)
ISCA
-
-
Herlihy, M.1
Moss, J.E.B.2
-
27
-
-
84960180408
-
Sparse matrix storage formats
-
Intel
-
Intel. Sparse Matrix Storage Formats, Intel Math Kernel Library. https: //software.intel.com/en-us/node/471374.
-
Intel Math Kernel Library
-
-
-
28
-
-
77954998134
-
High performance cache replacement using re-reference interval prediction (rrip)
-
A. Jaleel, K. B. Theobald, S. C. Steely, Jr., and J. Emer. High performance cache replacement using re-reference interval prediction (rrip). In ISCA, 2010.
-
(2010)
ISCA
-
-
Jaleel, A.1
Theobald, K.B.2
Steely, S.C.3
Emer, J.4
-
30
-
-
84912075623
-
Mitigating write disturbance in super-dense phase change memories
-
L. Jiang, Y. Zhang, and J. Yang. Mitigating Write Disturbance in Super-Dense Phase Change Memories. In DSN, 2014.
-
(2014)
DSN
-
-
Jiang, L.1
Zhang, Y.2
Yang, J.3
-
31
-
-
0003741558
-
One-level storage system
-
T. Kilburn, D.B.G. Edwards, M.J. Lanigan, and F.H. Sumner. One-Level Storage System. IRE Transactions on Electronic Computers, 11(2), 1962.
-
(1962)
IRE Transactions on Electronic Computers
, vol.11
, Issue.2
-
-
Kilburn, T.1
Edwards, D.B.G.2
Lanigan, M.J.3
Sumner, F.H.4
-
32
-
-
84876539529
-
Amoeba-cache: Adaptive blocks for eliminating waste in the memory hierarchy
-
S. Kumar, H. Zhao, A. Shriraman, E. Matthews, S. Dwarkadas, and L. Shannon. Amoeba-Cache: Adaptive Blocks for Eliminating Waste in the Memory Hierarchy. In MICRO, 2012.
-
(2012)
MICRO
-
-
Kumar, S.1
Zhao, H.2
Shriraman, A.3
Matthews, E.4
Dwarkadas, S.5
Shannon, L.6
-
33
-
-
70349084447
-
Snowflock: Rapid virtual machine cloning for cloud computing
-
H. A. Lagar-Cavilla, J. A. Whitney, A. M. Scannell, P. Patchin, S. M. Rumble, E. de Lara, M. Brudno, and M. Satyanarayanan. SnowFlock: Rapid Virtual Machine Cloning for Cloud Computing. In EuroSys, 2009.
-
(2009)
EuroSys
-
-
Lagar-Cavilla, H.A.1
Whitney, J.A.2
Scannell, A.M.3
Patchin, P.4
Rumble, S.M.5
De Lara, E.6
Brudno, M.7
Satyanarayanan, M.8
-
34
-
-
37549032725
-
IBM power6 microarchitecture
-
H. Q. Le, W. J. Starke, J. S. Fields, F. P. O'Connell, D. Q. Nguyen, B. J. Ronchetti, W. M. Sauer, E. M. Schwarz, and M. T. Vaden. Ibm power6 microarchitecture. IBM JRD, 51(6), 2007.
-
(2007)
IBM JRD
, vol.51
, Issue.6
-
-
Le, H.Q.1
Starke, W.J.2
Fields, J.S.3
O'Connell, F.P.4
Nguyen, D.Q.5
Ronchetti, B.J.6
Sauer, W.M.7
Schwarz, E.M.8
Vaden, M.T.9
-
35
-
-
84860332549
-
DRAMaware last-level cache writeback: Reducing write-caused interference in memory systems
-
University of Texas at Austin
-
C. J. Lee, V. Narasiman, E. Ebrahimi, O. Mutlu, and Y. N. Patt. DRAMaware last-level cache writeback: Reducing write-caused interference in memory systems. Technical Report TR-HPS-2010-2, University of Texas at Austin, 2010.
-
(2010)
Technical Report TR-HPS-2010-2
-
-
Lee, C.J.1
Narasiman, V.2
Ebrahimi, E.3
Mutlu, O.4
Patt, Y.N.5
-
36
-
-
67650081268
-
Architectural support for shadow memory in multiprocessors
-
V. Nagarajan and R. Gupta. Architectural Support for Shadow Memory in Multiprocessors. In VEE, 2009.
-
(2009)
VEE
-
-
Nagarajan, V.1
Gupta, R.2
-
37
-
-
84885629677
-
Speculative execution in a distributed Vle system
-
E. B. Nightingale, P. M. Chen, and J. Flinn. Speculative execution in a distributed Vle system. In SOSP, 2005.
-
(2005)
SOSP
-
-
Nightingale, E.B.1
Chen, P.M.2
Flinn, J.3
-
38
-
-
84892541151
-
Linearly compressed pages: A lowcomplexity, low-latency main memory compression framework
-
G. Pekhimenko, V. Seshadri, Y. Kim, H. Xin, O. Mutlu, P. B. Gibbons, M. A. Kozuch, and T. C. Mowry. Linearly Compressed Pages: A Lowcomplexity, Low-latency Main Memory Compression Framework. In MICRO, 2013.
-
(2013)
MICRO
-
-
Pekhimenko, G.1
Seshadri, V.2
Kim, Y.3
Xin, H.4
Mutlu, O.5
Gibbons, P.B.6
Kozuch, M.A.7
Mowry, T.C.8
-
39
-
-
0036290620
-
Revive: Cost-eUective architectural support for rollback recovery in shared-memory multiprocessors
-
M. Prvulovic, Z. Zhang, and J. Torrellas. Revive: Cost-eUective architectural support for rollback recovery in shared-memory multiprocessors. In ISCA, 2002.
-
(2002)
ISCA
-
-
Prvulovic, M.1
Zhang, Z.2
Torrellas, J.3
-
40
-
-
84960180409
-
Memory-mapped I/O
-
John Wiley and Sons Ltd., Chichester, UK
-
E. D. Reilly. Memory-mapped I/O. In Encyclopedia of Computer Science, page 1152. John Wiley and Sons Ltd., Chichester, UK.
-
Encyclopedia of Computer Science
, pp. 1152
-
-
Reilly, E.D.1
-
41
-
-
77952572235
-
Unived instruction/translation/data (unitd) coherence: One protocol to rule them all
-
B. Romanescu, A. R. Lebeck, D. J. Sorin, and A. Bracy. UNiVed Instruction/Translation/Data (UNITD) Coherence: One Protocol to Rule Them All. In HPCA, 2010.
-
(2010)
HPCA
-
-
Romanescu, B.1
Lebeck, A.R.2
Sorin, D.J.3
Bracy, A.4
-
43
-
-
0031272525
-
Eraser: A dynamic data race detector for multithreaded programs
-
November
-
S. Savage, M. Burrows, G. Nelson, P. Sobalvarro, and T. Anderson. Eraser: A Dynamic Data Race Detector for Multithreaded Programs. TOCS, 15(4), November 1997.
-
(1997)
TOCS
, vol.15
, Issue.4
-
-
Savage, S.1
Burrows, M.2
Nelson, G.3
Sobalvarro, P.4
Anderson, T.5
-
44
-
-
84892504664
-
Rowclone: Fast and energy-excient in-dram bulk data copy and initialization
-
V. Seshadri, Y. Kim, C. Fallin, D. Lee, R. Ausavarungnirun, G. Pekhimenko, Y. Luo, O. Mutlu, P. B. Gibbons, M. A. Kozuch, and T. C. Mowry. RowClone: Fast and Energy-eXcient in-DRAM Bulk Data Copy and Initialization. In MICRO, 2013.
-
(2013)
MICRO
-
-
Seshadri, V.1
Kim, Y.2
Fallin, C.3
Lee, D.4
Ausavarungnirun, R.5
Pekhimenko, G.6
Luo, Y.7
Mutlu, O.8
Gibbons, P.B.9
Kozuch, M.A.10
Mowry, T.C.11
-
45
-
-
84867569482
-
The evicted-address filter: A unived mechanism to address both cache pollution and thrashing
-
V. Seshadri, O. Mutlu, M. A. Kozuch, and T. C. Mowry. The Evicted-Address Filter: A UniVed Mechanism to Address Both Cache Pollution and Thrashing. In PACT, 2012.
-
(2012)
PACT
-
-
Seshadri, V.1
Mutlu, O.2
Kozuch, M.A.3
Mowry, T.C.4
-
47
-
-
33845907636
-
An integrated framework for dependable and revivable architectures using multicore processors
-
W. Shi, H.-H. S. Lee, L. Falk, and M. Ghosh. An Integrated Framework for Dependable and Revivable Architectures Using Multicore Processors. In ISCA, 2006.
-
(2006)
ISCA
-
-
Shi, W.1
Lee, H.-H.S.2
Falk, L.3
Ghosh, M.4
-
50
-
-
0036292677
-
Safetynet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery
-
D. J. Sorin, M. M. K. Martin, M. D. Hill, and D. A. Wood. Safetynet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery. In ISCA, 2002.
-
(2002)
ISCA
-
-
Sorin, D.J.1
Martin, M.M.K.2
Hill, M.D.3
Wood, D.A.4
-
51
-
-
34547655822
-
Feedback directed prefetching: Improving the performance and bandwidth-eXciency of hardware prefetchers
-
S. Srinath, O. Mutlu, H. Kim, and Y. N. Patt. Feedback directed prefetching: Improving the performance and bandwidth-eXciency of hardware prefetchers. In HPCA, 2007.
-
(2007)
HPCA
-
-
Srinath, S.1
Mutlu, O.2
Kim, H.3
Patt, Y.N.4
-
52
-
-
79959917961
-
Flashback: A lightweight extension for rollback and deterministic replay for software debugging
-
S. M. Srinivasan, S. Kandula, C. R. Andrews, and Y. Zhou. Flashback: A Lightweight Extension for Rollback and Deterministic Replay for Software Debugging. In USENIX ATC, 2004.
-
(2004)
USENIX ATC
-
-
Srinivasan, S.M.1
Kandula, S.2
Andrews, C.R.3
Zhou, Y.4
-
53
-
-
0024863174
-
Sheaved memory: Architectural support for state saving and restoration in pages systems
-
M. E. Staknis. Sheaved Memory: Architectural Support for State Saving and Restoration in Pages Systems. In ASPLOS, 1989.
-
(1989)
ASPLOS
-
-
Staknis, M.E.1
-
55
-
-
0025438154
-
Translation-lookaside buuer consistency
-
P. J. Teller. Translation-Lookaside BuUer Consistency. IEEE Computer, 23(6), 1990.
-
(1990)
IEEE Computer
, vol.23
, Issue.6
-
-
Teller, P.J.1
-
57
-
-
84856515634
-
Didi: Mitigating the performance impact of tlb shootdowns using a shared tlb directory
-
C. Villavieja, V. Karakostas, L. Vilanova, Y. Etsion, A. Ramirez, A. Mendelson, N. Navarro, A. Cristal, and O. S. Unsal. DiDi: Mitigating the Performance Impact of TLB Shootdowns Using a Shared TLB Directory. In PACT, 2011.
-
(2011)
PACT
-
-
Villavieja, C.1
Karakostas, V.2
Vilanova, L.3
Etsion, Y.4
Ramirez, A.5
Mendelson, A.6
Navarro, N.7
Cristal, A.8
Unsal, O.S.9
-
58
-
-
20344397073
-
Memory resource management in vmware esx server
-
C. A. Waldspurger. Memory Resource Management in VMware ESX Server. OSDI, 2002.
-
(2002)
OSDI
-
-
Waldspurger, C.A.1
-
59
-
-
0028994273
-
Checkpointing and its applications
-
Y-M.Wang, Y. Huang, K-P. Vo, P-Y. Chung, and C. Kintala. Checkpointing and its applications. In FTCS, 1995.
-
(1995)
FTCS
-
-
Wang, Y.-M.1
Huang, Y.2
Vo, K.-P.3
Chung, P.-Y.4
Kintala, C.5
-
60
-
-
79955974508
-
Operating system support for application-speciVc speculation
-
B. Wester, P. M. Chen, and J. Flinn. Operating system support for application-speciVc speculation. In EuroSys, 2011.
-
(2011)
EuroSys
-
-
Wester, B.1
Chen, P.M.2
Flinn, J.3
-
61
-
-
35248814343
-
Legba: Fast hardware support for fine-grained protection
-
A. Wiggins, S. Winwood, H. Tuch, and G. Heiser. Legba: Fast Hardware Support for Fine-Grained Protection. In Amos Omondi and Stanislav Sedukhin, editors, Advances in Computer Systems Architecture, volume 2823 of Lecture Notes in Computer Science, 2003.
-
(2003)
Amos Omondi and Stanislav Sedukhin, Editors, Advances in Computer Systems Architecture, Volume 2823 of Lecture Notes in Computer Science
-
-
Wiggins, A.1
Winwood, S.2
Tuch, H.3
Heiser, G.4
-
63
-
-
77954714780
-
Excient memory shadowing for 64-bit architectures
-
Q. Zhao, D. Bruening, and S. Amarasinghe. EXcient Memory Shadowing for 64-bit Architectures. In ISMM, 2010.
-
(2010)
ISMM
-
-
Zhao, Q.1
Bruening, D.2
Amarasinghe, S.3
|