-
1
-
-
0000793139
-
Cramming more components onto integrated circuits
-
G. E. Moore, "Cramming More Components onto Integrated Circuits," Electronics, vol. 38, no. 8, pp. 114-117, 1965.
-
(1965)
Electronics
, vol.38
, Issue.8
, pp. 114-117
-
-
Moore, G.E.1
-
2
-
-
0016116644
-
Design of ion-implanted mosfet's with very small physical dimensions
-
R. H. Dennard, F. H. Gaensslen, V. L. Rideout, E. Bassous, and A. R. LeBlanc, "Design of Ion-Implanted MOSFET's with Very Small Physical Dimensions," IEEE Journal of Solid-State Circuits, vol. 9, no. 5, pp. 256-268, 1974.
-
(1974)
IEEE Journal of Solid-State Circuits
, vol.9
, Issue.5
, pp. 256-268
-
-
Dennard, R.H.1
Gaensslen, F.H.2
Rideout, V.L.3
Bassous, E.4
Leblanc, A.R.5
-
3
-
-
49549087968
-
A 320mv 56w 411gops/watt ultra-low voltage motion estimation accelerator in 65nm cmos
-
February
-
H. Kaul, M. Anders, S. Mathew, S. Hsu, A. Agarwal, R. Krishnamurthy, and S. Borkar, "A 320mV 56W 411GOPS/Watt Ultra-Low Voltage Motion Estimation Accelerator in 65nm CMOS," in International Solid-State Circuits Conference, February 2008.
-
(2008)
International Solid-State Circuits Conference
-
-
Kaul, H.1
Anders, M.2
Mathew, S.3
Hsu, S.4
Agarwal, A.5
Krishnamurthy, R.6
Borkar, S.7
-
4
-
-
75649121827
-
Practical strategies for power-efficient computing technologies
-
February.
-
L. Chang, D. J. Frank, R. K. Montoye, S. J. Koester, B. L. Ji, P. W. Coteus, R. H. Dennard, and W. Haensch, "Practical Strategies for Power-Efficient Computing Technologies," Proceedings of the IEEE, February 2010.
-
(2010)
Proceedings of the IEEE
-
-
Chang, L.1
Frank, D.J.2
Montoye, R.K.3
Koester, S.J.4
Ji, B.L.5
Coteus, P.W.6
Dennard, R.H.7
Haensch, W.8
-
5
-
-
75649093754
-
Near-threshold computing reclaiming moore's law through energy efficient integrated circuits
-
February.
-
R. G. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, and T. Mudge, "Near-Threshold Computing Reclaiming Moore's Law Through Energy Efficient Integrated Circuits," Proceedings of the IEEE, February 2010.
-
(2010)
Proceedings of the IEEE
-
-
Dreslinski, R.G.1
Wieckowski, M.2
Blaauw, D.3
Sylvester, D.4
Mudge, T.5
-
6
-
-
75649141765
-
Ultralow-power design in near-threshold region
-
February.
-
D. Markovic, C. C. Wang, L. P. Alarcon, T.-T. Liu, and J. M. Rabaey, "Ultralow-Power Design in Near-Threshold Region," Proceedings of the IEEE, February 2010.
-
(2010)
Proceedings of the IEEE
-
-
Markovic, D.1
Wang, C.C.2
Alarcon, L.P.3
Liu, T.-T.4
Rabaey, J.M.5
-
7
-
-
84903839074
-
Voltage island management in near threshold manycore architectures to mitigate dark silicon
-
March.
-
C. Silvano, G. Palermo, S. Xydis, and I. Stamelakos, "Voltage Island Management in Near Threshold Manycore Architectures to Mitigate Dark Silicon," in Conference on Design, Automation and Test in Europe, March 2014.
-
(2014)
Conference on Design, Automation and Test in Europe
-
-
Silvano, C.1
Palermo, G.2
Xydis, S.3
Stamelakos, I.4
-
8
-
-
84880303483
-
EnergySmart toward energy-efficient manycores for near-threshold computing
-
February.
-
U. R. Karpuzcu, A. Sinkar, N. S. Kim, and J. Torrellas, "EnergySmart Toward Energy-Efficient Manycores for Near-Threshold Computing," in International Symposium on High Performance Computer Architecture, February 2013.
-
(2013)
International Symposium on High Performance Computer Architecture
-
-
Karpuzcu, U.R.1
Sinkar, A.2
Kim, N.S.3
Torrellas, J.4
-
9
-
-
34548859786
-
Comparison of split versus connected-core supplies in the power6 microprocessor
-
February.
-
N. James, P. Restle, J. Friedrich, B. Huott, and B. McCredie, "Comparison of Split Versus Connected-Core Supplies in the POWER6 Microprocessor," in International Solid-State Circuits Conference, February 2007.
-
(2007)
International Solid-State Circuits Conference
-
-
James, N.1
Restle, P.2
Friedrich, J.3
Huott, B.4
McCredie, B.5
-
10
-
-
84893409010
-
Improving platform energy-chip area trade-off in near-threshold computing environment
-
November.
-
H. Wang and N. S. Kim, "Improving Platform Energy-Chip Area Trade-off in Near-Threshold Computing Environment," in International Conference on Computer Aided Design, November 2013.
-
(2013)
International Conference on Computer Aided Design
-
-
Wang, H.1
Kim, N.S.2
-
11
-
-
84863552323
-
Cost-effective power delivery to support per-core voltage domains for power-constrained processors
-
June.
-
H. R. Ghasemi, A. Sinkar, M. Schulte, and N. S. Kim, "Cost-Effective Power Delivery to Support Per-Core Voltage Domains for Power-Constrained Processors," in Design Automation Conference, June 2012.
-
(2012)
Design Automation Conference
-
-
Ghasemi, H.R.1
Sinkar, A.2
Schulte, M.3
Kim, N.S.4
-
12
-
-
1642317047
-
Level conversion for dual-supply systems
-
Feb.
-
F. Ishihara, F. Sheikh, and B. Nikolíc, "Level Conversion for Dual-Supply Systems," IEEE Transactions on Very Large Scale Integration Systems, vol. 12, no. 2, pp. 185-195, Feb. 2004.
-
(2004)
IEEE Transactions on Very Large Scale Integration Systems
, vol.12
, Issue.2
, pp. 185-195
-
-
Ishihara, F.1
Sheikh, F.2
Nikolíc, B.3
-
13
-
-
84903852494
-
Resolving the memory bottleneck for single supply near-threshold computing
-
March.
-
T. Gemmeke, M. M. Sabry, J. Stuijt, P. Raghavan, F. Catthoor, and D. Atienza, "Resolving the Memory Bottleneck for Single Supply Near-Threshold Computing," in Conference on Design, Automation and Test in Europe, March 2014.
-
(2014)
Conference on Design, Automation and Test in Europe
-
-
Gemmeke, T.1
Sabry, M.M.2
Stuijt, J.3
Raghavan, P.4
Catthoor, F.5
Atienza, D.6
-
14
-
-
84880285649
-
Refrint intelligent refresh to minimize power in on-chip multiprocessor cache hierarchies
-
February.
-
A. Agrawal, P. Jain, A. Ansari, and J. Torrellas, "Refrint Intelligent Refresh to Minimize Power in On-Chip Multiprocessor Cache Hierarchies," in International Symposium on High Performance Computer Architecture, February 2013.
-
(2013)
International Symposium on High Performance Computer Architecture
-
-
Agrawal, A.1
Jain, P.2
Ansari, A.3
Torrellas, J.4
-
16
-
-
84903977448
-
Tangle route-oriented dynamic voltage minimization for variation-afflicted, energy-efficient on-chip networks
-
February.
-
A. Ansari, A. Mishra, J. Xu, and J. Torrellas, "Tangle Route-Oriented Dynamic Voltage Minimization for Variation-Afflicted, Energy-Efficient On-Chip Networks," in International Symposium on High Performance Computer Architecture, February 2014.
-
(2014)
International Symposium on High Performance Computer Architecture
-
-
Ansari, A.1
Mishra, A.2
Xu, J.3
Torrellas, J.4
-
17
-
-
77956581758
-
ExaScale computing study technology challenges in achieving exascale systems
-
September.
-
P. Kogge et al., "ExaScale Computing Study Technology Challenges in Achieving Exascale Systems," in DARPA-IPTO Sponsored Study, September 2008.
-
(2008)
DARPA-IPTO Sponsored Study
-
-
Kogge, P.1
-
20
-
-
84876588873
-
-
Micron Technology, Inc.
-
Micron Technology, Inc., "Hybrid Memory Cube," 2011, http//www.micron.com/products/hybrid-memory-cube.
-
(2011)
Hybrid Memory Cube
-
-
-
21
-
-
0038378394
-
Programming the flexram parallel intelligent memory system
-
June
-
B. Fraguela, P. Feautrier, J. Renau, D. Padua, and J. Torrellas, "Programming the FlexRAM Parallel Intelligent Memory System," in International Symposium on Principles and Practice of Parallel Programming, June 2003.
-
(2003)
International Symposium on Principles and Practice of Parallel Programming
-
-
Fraguela, B.1
Feautrier, P.2
Renau, J.3
Padua, D.4
Torrellas, J.5
-
22
-
-
0020289466
-
Architecture and applications of the hep multiprocessor computer system
-
B. J. Smith, "Architecture and Applications of the HEP Multiprocessor Computer System," in Real-Time Signal Processing IV, 1982, pp. 241- 248.
-
(1982)
Real-Time Signal Processing
, vol.5
, pp. 241-248
-
-
Smith, B.J.1
-
23
-
-
33751022080
-
Programming for parallelism and locality with hierarchically tiled arrays
-
G. Bikshandi, J. Guo, D. Hoeflinger, G. Almasi, B. B. Fraguela, M. J. Garzaŕan, D. Padua, and C. von Praun, "Programming for Parallelism and Locality with Hierarchically Tiled Arrays," in International Symposium on Principles and Practice of Parallel Programming, 2006.
-
(2006)
International Symposium on Principles and Practice of Parallel Programming
-
-
Bikshandi, G.1
Guo, J.2
Hoeflinger, D.3
Almasi, G.4
Fraguela, B.B.5
Garzaŕan, M.J.6
Padua, D.7
Von Praun, C.8
-
24
-
-
67650685245
-
Multi-core implementations of the concurrent collections programming model
-
Z. Budimlic, A. Chandramowlishwaran, K. Knobe, G. Lowney, V. Sarkar, and L. Treggiari, "Multi-core Implementations of the Concurrent Collections Programming Model," in Workshop on Compilers for Parallel Computers, 2009.
-
(2009)
Workshop on Compilers for Parallel Computers
-
-
Budimlic, Z.1
Chandramowlishwaran, A.2
Knobe, K.3
Lowney, G.4
Sarkar, V.5
Treggiari, L.6
|