-
1
-
-
84903181132
-
-
AMD Opteron Processors [Online]. Available
-
AMD Opteron Processors. (2014). [Online]. Available: http://www. amd.com/enus/products/server/6000/6200#
-
(2014)
-
-
-
2
-
-
84903121928
-
-
Intel Nehalem [Online]. Available
-
Intel Nehalem. (2014). [Online]. Available: http://www.3dnow. net/phpBB2/viewtopic.php?f=1&t=1474&p=6720
-
(2014)
-
-
-
3
-
-
0036858572
-
The on-chip 3-MB subarray-based third-level cache on an Itanium microprocessor
-
DOI 10.1109/JSSC.2002.802354
-
D. Weiss, J. J. Wuu, and V. Chin, "The on-chip 3-MB subarray-based third-level cache on an Itanium microprocessor," in Proc. Int. Solid-State Circuits Conf. (ISSCC'02), 2002, pp. 1523-1529. (Pubitemid 35432174)
-
(2002)
IEEE Journal of Solid-State Circuits
, vol.37
, Issue.11
, pp. 1523-1529
-
-
Weiss, D.1
Wuu, J.J.2
Chin, V.3
-
6
-
-
0032645271
-
Adapting cache line size to application behavior
-
A. V. Veidenbaum, W. Tang, R. Gupta, A. Nicolau, and X. Ji, "Adapting cache line size to application behavior," in Proc. Int. Conf. Supercomput. (ICS'99), 1999, pp. 145-154.
-
(1999)
Proc. Int. Conf. Supercomput. (ICS'99)
, pp. 145-154
-
-
Veidenbaum, A.V.1
Tang, W.2
Gupta, R.3
Nicolau, A.4
Ji, X.5
-
7
-
-
0034856732
-
Cache decay: Exploiting generational behavior to reduce cache leakage power
-
S. Kaxiras, Z. Hu, and M. Martonosi, "Cache decay: Exploiting generational behavior to reduce cache leakage power," in Proc. Int. Symp. Comput. Archit. (ISCA'01), 2001, pp. 240-251. (Pubitemid 32825409)
-
(2001)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 240-251
-
-
Kaxiras, S.1
Hu, Z.2
Martonosi, M.3
-
8
-
-
0034461413
-
Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures
-
R. Balasubramonian, D. Albonesi, A. Buyuktosunoglu, and S. Dwarkadas, "Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures," in Proc. 32nd Annu. IEEE/ACM Int. Symp. Microarchit. (MICRO'00), 2000, pp. 245-257. (Pubitemid 32255847)
-
(2000)
Proceedings of the Annual International Symposium on Microarchitecture
, pp. 245-257
-
-
Balasubramonian, R.1
Albonesi, D.2
Buyuktosunoglu, A.3
Dwarkadas, S.4
-
9
-
-
70449698512
-
Dynamic cache clustering for chip multiprocessors
-
M. Hammoud, S. Cho, and R. Melhem, "Dynamic cache clustering for chip multiprocessors," in Proc. Int. Conf. Supercomput. (ICS'09), 2009, pp. 56-67.
-
(2009)
Proc. Int. Conf. Supercomput. (ICS'09)
, pp. 56-67
-
-
Hammoud, M.1
Cho, S.2
Melhem, R.3
-
10
-
-
0036949388
-
An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches
-
DOI 10.1145/635508.605420
-
C. Kim, D. Burger, and S. W. Keckler, "An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches," in Proc. 10th Int. Conf. Archit. Support Program. Lang. Oper. Syst. (ASPLOS'02), 2002, pp. 211-222. (Pubitemid 44892235)
-
(2002)
Operating Systems Review (ACM)
, vol.36
, Issue.5
, pp. 211-222
-
-
Kim, C.1
Burger, D.2
Keckler, S.W.3
-
11
-
-
77955375569
-
Way adaptable DNUCA caches
-
Aug.
-
A. Bardine, M. Comparetti, P. Foglia, G. Gabrielli, and C. A. Prete, "Way adaptable DNUCA caches," Int. J. High Perform. Syst. Archit., pp. 215-228, Aug. 2010.
-
(2010)
Int. J. High Perform. Syst. Archit.
, pp. 215-228
-
-
Bardine, A.1
Comparetti, M.2
Foglia, P.3
Gabrielli, G.4
Prete, C.A.5
-
12
-
-
84949817426
-
Exploiting choice in resizable cache design to optimize deepsubmicron processor energy-delay
-
S.-H. Yang, B. Falsafi, M. D. Powell, and T. N. Vijaykumar, "Exploiting choice in resizable cache design to optimize deepsubmicron processor energy-delay," in Proc. 8th Int. Symp. High-Perform. Comput. Archit. (HPCA'02), 2002, pp. 151-161.
-
(2002)
Proc. 8th Int. Symp. High-Perform. Comput. Archit. (HPCA'02)
, pp. 151-161
-
-
Yang, S.-H.1
Falsafi, B.2
Powell, M.D.3
Vijaykumar, T.N.4
-
14
-
-
2642575180
-
Aco-phase matrix to guide simultaneous multithreading simulation
-
M. Van Biesbrouck, T. Sherwood, and B. Calder, "Aco-phase matrix to guide simultaneous multithreading simulation," in Proc. IEEE Int. Symp. Perform. Anal. Syst. Softw. (ISPASS'04), 2004, pp. 45-56.
-
(2004)
Proc. IEEE Int. Symp. Perform. Anal. Syst. Softw. (ISPASS'04)
, pp. 45-56
-
-
Van Biesbrouck, M.1
Sherwood, T.2
Calder, B.3
-
15
-
-
33644879118
-
-
[Online]. Available
-
J. Renau, B. Fraguela, J. Tuck, W. Liu, M. Prvulovic, L. Ceze, S. Sarangi, P. Sack, K. Strauss, and P. Montesinos. (2005). SESC Simulator [Online]. Available: http://sesc.sourceforge.net
-
(2005)
SESC Simulator
-
-
Renau, J.1
Fraguela, B.2
Tuck, J.3
Liu, W.4
Prvulovic, M.5
Ceze, L.6
Sarangi, S.7
Sack, P.8
Strauss, K.9
Montesinos, P.10
-
16
-
-
85006553935
-
Multifacet general execution-driven multiprocessor simulator (gems) toolset
-
M. M. K. Martin, D. J. Sorin, B. M. Beckmann, M. R. Marty, M. Xu, A. R. Alameldeen, K. E. Moore, M. D. Hill, and D. A. Wood, "Multifacet general execution-driven multiprocessor simulator (gems) toolset," SIGARCH Comput. Archit. News, pp. 92-99, 2005.
-
(2005)
SIGARCH Comput. Archit. News
, pp. 92-99
-
-
Martin, M.M.K.1
Sorin, D.J.2
Beckmann, B.M.3
Marty, M.R.4
Xu, M.5
Alameldeen, A.R.6
Moore, K.E.7
Hill, M.D.8
Wood, D.A.9
-
17
-
-
35348861182
-
DRAMsim: A memory system simulator
-
D. Wang, B. Ganesh, N. Tuaycharoen, K. Baynes, A. Jaleel, and B. Jacob, "DRAMsim: A memory system simulator," SIGARCH Comput. Archit. News, pp. 100-107, 2005.
-
(2005)
SIGARCH Comput. Archit. News
, pp. 100-107
-
-
Wang, D.1
Ganesh, B.2
Tuaycharoen, N.3
Baynes, K.4
Jaleel, A.5
Jacob, B.6
-
18
-
-
84903195702
-
-
[Online]. Available
-
Micron DRAM Power Data Sheet. (2012). [Online]. Available: http://www.micron.com/products/partdetail?part=MT47H128M8HQ-3E
-
(2012)
Micron DRAM Power Data Sheet
-
-
-
19
-
-
38849147587
-
INTACTE: An interconnect area, delay, and energy estimation tool for microarchitectural explorations
-
DOI 10.1145/1289881.1289923, CASES'07: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
-
R. Nagpal, A. Madan, A. Bhardwaj, and Y. N. Srikant, "Intacte: An interconnect area, delay, and energy estimation tool for microarchitectural explorations," in Proc. Int. Conf. Compilers, Archit., Synth. Embedded Syst. (CASES'07), 2007, pp. 238-247. (Pubitemid 351204007)
-
(2007)
CASES'07: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 238-247
-
-
Nagpal, R.1
Madan, A.2
Bhardwaj, A.3
Srikant, Y.N.4
-
21
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, "The SPLASH-2 programs: Characterization and methodological considerations," in Proc. 22nd Annu. Int. Symp. Comput. Archit. (ISCA'95), 1995, pp. 24-36.
-
(1995)
Proc. 22nd Annu. Int. Symp. Comput. Archit. (ISCA'95)
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
22
-
-
33749052315
-
The ALPBench benchmark suite for complex multimedia applications
-
DOI 10.1109/IISWC.2005.1525999, 1525999, Proceedings of the 2005 IEEE International Symposium on Workload Characterization, IISWC-2005
-
M. lap Li, R. Sasanka, S. V. Adve, Y. kuang Chen, and E. Debes, "The ALPBench benchmark suite for complex multimedia applications," in 9th IEEE Int. Symp. Wearable Comput. (ISWC'05), 2005, pp. 34-45. (Pubitemid 44460133)
-
(2005)
Proceedings of the 2005 IEEE International Symposium on Workload Characterization, IISWC-2005
, vol.2005
, pp. 34-45
-
-
Li, M.-L.1
Sasanka, R.2
Adve, S.V.3
Chen, Y.-K.4
Debes, E.5
-
23
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li, "The PARSEC benchmark suite: Characterization and architectural implications," in Proc. Parallel Archit. Compil. Tech. (PACT'08), 2008, pp. 72-81.
-
(2008)
Proc. Parallel Archit. Compil. Tech. (PACT'08)
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
25
-
-
84903120093
-
-
SPEC Benchmarks [Online]. Available
-
SPEC Benchmarks. [Online]. Available: http://www.spec.org/
-
-
-
-
26
-
-
84903201208
-
-
Xeon Processor [Online]. Available
-
Xeon Processor. (2014). [Online]. Available: http://ark.intel.com/ products/codename/22796/Woodcrest
-
(2014)
-
-
-
28
-
-
33748593083
-
Finding optimal LI cache configuration for embedded systems
-
1594783, Proceedings of the ASP-DAC 2006: Asia and South Pacific Design Automation Conference 2006
-
A. Janapsatya, A. Ignjatović, and S. Parameswaran, "Finding optimal L1 cache configuration for embedded systems," in Proc. 11th Asia and South Pacific Des. Autom. Conf. (ASPDAC'06), 2006, pp. 796-801. (Pubitemid 44376028)
-
(2006)
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
, vol.2006
, pp. 796-801
-
-
Janapsatyat, A.1
Ignjatovic, A.2
Parameswaran, S.3
-
29
-
-
0035242947
-
Reducing leakage in a high-performance deepsubmicron instruction cache
-
M. Powell, S. hyun Yang, B. Falsafi, K. Roy, S. Member, and T. N. Vijaykumar, "Reducing leakage in a high-performance deepsubmicron instruction cache," IEEE Trans. Very Large Scale Integr. Syst., 2001.
-
(2001)
IEEE Trans. Very Large Scale Integr. Syst.
-
-
Powell, M.1
Hyun Yang, S.2
Falsafi, B.3
Roy, K.4
Member, S.5
Vijaykumar, T.N.6
-
30
-
-
85016664946
-
IATAC: A smart predictor to turn-off L2 cache lines
-
A. Jaume, G. Antonio, V. Xavier, and B. Micheal, "IATAC: A smart predictor to turn-off L2 cache lines," in ACM Trans. Archit. Code Optimiz., 2005.
-
(2005)
ACM Trans. Archit. Code Optimiz.
-
-
Jaume, A.1
Antonio, G.2
Xavier, V.3
Micheal, B.4
-
31
-
-
0035177403
-
Adaptive mode control: A static-power-efficient cache design
-
H. Zhou, M. C. Toburen, E. Rotenberg, and T. M. Conte, "Adaptive mode control: A static-power-efficient cache design," in Proc. Int. Conf. Parallel Archit. Compil. Tech. (PACT'01), 2001, pp. 61-70. (Pubitemid 33085425)
-
(2001)
Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT
, pp. 61-70
-
-
Zhou, H.1
Toburen, M.C.2
Rotenberg, E.3
Conte, T.M.4
-
32
-
-
80053024308
-
Estimating application cache requirement for provisioning caches in virtualized systems
-
R. Koller, A. Verma, and R. Rangaswami, "Estimating application cache requirement for provisioning caches in virtualized systems," in MASCOTS, 2011, pp. 55-62.
-
(2011)
MASCOTS
, pp. 55-62
-
-
Koller, R.1
Verma, A.2
Rangaswami, R.3
-
33
-
-
84948754628
-
Integrating adaptive on-chip storage structures for reduced dynamic power
-
S.Dropsho,A.Buyuktosunoglu,R.Balasubramonian,D.H.Albonesi, S.Dwarkadas, G. Semeraro, G.Magklis, and M. L. Scott, "Integrating adaptive on-chip storage structures for reduced dynamic power," in Proc. Int. Conf. Parallel Archit. Compil. Tech. (PACT'02), 2002, pp. 141-152.
-
(2002)
Proc. Int. Conf. Parallel Archit. Compil. Tech. (PACT'02)
, pp. 141-152
-
-
Dropsho, S.1
Buyuktosunoglu, A.2
Balasubramonian, R.3
Albonesi, D.H.4
Dwarkadas, S.5
Semeraro, G.6
Magklis, G.7
Scott, M.L.8
-
34
-
-
70350053133
-
A power-efficient migration mechanism for D-NUCA caches
-
A. Bardine, M. Comparetti, P. Foglia, G. Gabrielli, and C. A. Prete, "A power-efficient migration mechanism for D-NUCA caches." in Proc. Des. Autom. Test Eur. (DATE'09), 2009, pp. 598-601.
-
(2009)
Proc. Des. Autom. Test Eur. (DATE'09)
, pp. 598-601
-
-
Bardine, A.1
Comparetti, M.2
Foglia, P.3
Gabrielli, G.4
Prete, C.A.5
-
35
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge, "Drowsy caches: Simple techniques for reducing leakage power," in Proc. Int. Symp. Comput. Archit. (ISCA'02), 2002, pp. 148-157. (Pubitemid 34691858)
-
(2002)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
36
-
-
0033672408
-
Gated-VDD: A circuit technique to reduce leakage in cache memories
-
M. Powell, S. hyun Yang, B. Falsafi, K. Roy, and T. N. Vijaykumar, "Gated-VDD: A circuit technique to reduce leakage in cache memories," in Proc. Int. Symp. Low Power Electron. Des. (ISLPED'00), 2000, pp. 90-95.
-
(2000)
Proc. Int. Symp. Low Power Electron. Des. (ISLPED'00)
, pp. 90-95
-
-
Powell, M.1
Hyun Yang, S.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.N.5
-
37
-
-
75649138755
-
Smartreflex power and performance management technologies for 90 nm, 65 nm, and 45 nm mobile application processors
-
G. Gammie, A. Wang, H. Mair, R. Lagerquist, R. Philippe, G. Sumanth, and K. Uming, "Smartreflex power and performance management technologies for 90 nm, 65 nm, and 45 nm mobile application processors," Proc. IEEE, 2010.
-
(2010)
Proc. IEEE
-
-
Gammie, G.1
Wang, A.2
Mair, H.3
Lagerquist, R.4
Philippe, R.5
Sumanth, G.6
Uming, K.7
-
40
-
-
67649976852
-
Impact of technology and voltage scaling on the soft error susceptibility in nanoscale CMOS
-
V. Chandra and R. Aitken, "Impact of technology and voltage scaling on the soft error susceptibility in nanoscale CMOS," in Proc. IEEE Int. Symp. Defect Fault Tolerance VLSI Syst., 2008, pp. 114-122.
-
(2008)
Proc. IEEE Int. Symp. Defect Fault Tolerance VLSI Syst.
, pp. 114-122
-
-
Chandra, V.1
Aitken, R.2
-
41
-
-
77951292983
-
Adopting the drowsy technique for instruction caches:Asoft error perspective
-
S. H. Shin, S. W. Chung, E.-Y. Chung, and C. S. Jhon, "Adopting the drowsy technique for instruction caches:Asoft error perspective," in Proc. IEICE Trans. Fundam. Electron. Commun. Comput. Sci., pp. 1772-1779, 2008.
-
(2008)
Proc. IEICE Trans. Fundam. Electron. Commun. Comput. Sci.
, pp. 1772-1779
-
-
Shin, S.H.1
Chung, S.W.2
Chung, E.-Y.3
Jhon, C.S.4
|