-
3
-
-
63149172208
-
Cost-efficient dragonfly topology for large-scale systems
-
Jan
-
J. Kim, W. J. Dally, S. Scott, and D. Abts, "Cost-Efficient Dragonfly Topology for Large-Scale Systems," IEEE Micro, vol. 29, no. 1, Jan. 2009.
-
(2009)
IEEE Micro
, vol.29
, Issue.1
-
-
Kim, J.1
Dally, W.J.2
Scott, S.3
Abts, D.4
-
6
-
-
84872070327
-
Adaptive backpressure: Efficient buffer management for on-chip networks
-
D. U. Becker, N. Jiang, G. Michelogiannakis, and W. J. Dally, "Adaptive Backpressure: Efficient Buffer Management for On-Chip Networks," in Proceedings of the 30th IEEE International Conference on Computer Design, 2012.
-
(2012)
Proceedings of the 30th IEEE International Conference on Computer Design
-
-
Becker, D.U.1
Jiang, N.2
Michelogiannakis, G.3
Dally, W.J.4
-
9
-
-
70549111625
-
Firefly: Illuminating future network-on-chip with nanophotonics
-
Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary, "Firefly: Illuminating Future Network-on-Chip with Nanophotonics," in Proceedings of the 36th International Symposium on Computer Architecture, 2009.
-
(2009)
Proceedings of the 36th International Symposium on Computer Architecture
-
-
Pan, Y.1
Kumar, P.2
Kim, J.3
Memik, G.4
Zhang, Y.5
Choudhary, A.6
-
10
-
-
84881445149
-
Phastlane: A rapid transit optical routing network
-
Jun
-
M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi, "Phastlane: a rapid transit optical routing network," SIGARCH Computer Architecture News, vol. 37, no. 3, Jun. 2009.
-
(2009)
SIGARCH Computer Architecture News
, vol.37
, Issue.3
-
-
Cianchetti, M.J.1
Kerekes, J.C.2
Albonesi, D.H.3
-
11
-
-
70349169075
-
Analyzing CUDA workloads using a detailed GPU simulator
-
A. Bakhoda, G. L. Yuan, W. W. L. Fung, H. Wong, and T. M. Aamodt, "Analyzing CUDA workloads using a detailed GPU simulator," in Proceedings of the IEEE Symposium on Performance Analysis of Systems and Software, 2009.
-
(2009)
Proceedings of the IEEE Symposium on Performance Analysis of Systems and Software
-
-
Bakhoda, A.1
Yuan, G.L.2
Fung, W.W.L.3
Wong, H.4
Aamodt, T.M.5
-
12
-
-
84881453323
-
-
Open-Source Network-on-Chip Router Generator. [Online]. Available
-
Open-Source Network-on-Chip Router Generator. [Online]. Available: http://nocs.stanford.edu/router.html
-
-
-
-
14
-
-
70049105948
-
GARNET: A detailed on-chip network model inside a full-system simulator
-
N. Agarwal, T. Krishna, L.-S. Peh, and N. K. Jah, "GARNET: A detailed on-chip network model inside a full-system simulator," in Proceedings of the IEEE Symposium on Performance Analysis of Systems and Software, 2009.
-
(2009)
Proceedings of the IEEE Symposium on Performance Analysis of Systems and Software
-
-
Agarwal, N.1
Krishna, T.2
Peh, L.-S.3
Jah, N.K.4
-
15
-
-
84859464490
-
The GEM5 simulator
-
May
-
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood, "The GEM5 Simulator," SIGARCH Computer Architecture News, vol. 39, no. 2, May 2011.
-
(2011)
SIGARCH Computer Architecture News
, vol.39
, Issue.2
-
-
Binkert, N.1
Beckmann, B.2
Black, G.3
Reinhardt, S.K.4
Saidi, A.5
Basu, A.6
Hestness, J.7
Hower, D.R.8
Krishna, T.9
Sardashti, S.10
Sen, R.11
Sewell, K.12
Shoaib, M.13
Vaish, N.14
Hill, M.D.15
Wood, D.A.16
-
16
-
-
84881414104
-
-
NOXIM. [Online]. Available: http://noxim.sourceforge.net
-
-
-
-
17
-
-
0037643684
-
SICOSYS: An integrated framework for studying interconnection network performance in multiprocessor systems
-
V. Puente, J. A. Gregorio, and R. Beivide, "SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems," in Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing, 2002.
-
(2002)
Proceedings of the 10th Euromicro Conference on Parallel, Distributed and Network-based Processing
-
-
Puente, V.1
Gregorio, J.A.2
Beivide, R.3
-
19
-
-
52949114554
-
A 4.6tbits/s 3.6ghz single-cycle noc router with a novel switch allocator in 65nm cmos
-
A. Kumar, P. Kundu, A. P. Singh, L.-S. Peh, and N. K. Jha, "A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS," in Proceedings of the 25th International Conference on Computer Design, 2007.
-
(2007)
Proceedings of the 25th International Conference on Computer Design
-
-
Kumar, A.1
Kundu, P.2
Singh, A.P.3
Peh, L.-S.4
Jha, N.K.5
-
20
-
-
0032655137
-
The iSLIP scheduling algorithm for input-queued switches
-
Apr
-
N. McKeown, "The iSLIP scheduling algorithm for input-queued switches," IEEE/ACM Trans. Netw., vol. 7, no. 2, Apr. 1999.
-
(1999)
IEEE/ACM Trans. Netw.
, vol.7
, Issue.2
-
-
McKeown, N.1
-
21
-
-
0027694638
-
Highspeed switch scheduling for local-area networks
-
Nov
-
T. E. Anderson, S. S. Owicki, J. B. Saxe, and C. P. Thacker, "Highspeed switch scheduling for local-area networks," ACM Trans. Comput. Syst., vol. 11, no. 4, Nov. 1993.
-
(1993)
ACM Trans. Comput. Syst.
, vol.11
, Issue.4
-
-
Anderson, T.E.1
Owicki, S.S.2
Saxe, J.B.3
Thacker, C.P.4
-
22
-
-
0027306402
-
Symmetric crossbar arbiters for vlsi communication switches
-
Jan
-
Y. Tamir and H.-C. Chi, "Symmetric Crossbar Arbiters for VLSI Communication Switches," IEEE Trans. Parallel Distrib. Syst., vol. 4, no. 1, Jan. 1993.
-
(1993)
IEEE Trans. Parallel Distrib. Syst.
, vol.4
, Issue.1
-
-
Tamir, Y.1
Chi, H.-C.2
-
27
-
-
40349107206
-
Vichar: A dynamic virtual channel regulator for network-on-chip routers
-
C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, "ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers," in Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, 2006.
-
(2006)
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
-
-
Nicopoulos, C.A.1
Park, D.2
Kim, J.3
Vijaykrishnan, N.4
Yousif, M.S.5
Das, C.R.6
-
29
-
-
36849030305
-
On-chip interconnection architecture of the tile processor
-
D. Wentzlaff, P. Griffin, H. Hoffmann, L. Bao, B. Edwards, C. Ramey, M. Mattina, C.-C. Miao, J. F. Brown III, and A. Agarwal, "On-Chip Interconnection Architecture of the Tile Processor," IEEE Micro, vol. 27, no. 5, 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
-
-
Wentzlaff, D.1
Griffin, P.2
Hoffmann, H.3
Bao, L.4
Edwards, B.5
Ramey, C.6
Mattina, M.7
Miao, C.-C.8
Brown, J.F.9
Agarwal, A.10
-
30
-
-
78650844034
-
On-chip network evaluation framework
-
H. Kim, S. Heo, J. Lee, J. Huh, and J. Kim, "On-Chip Network Evaluation Framework," in Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, 2010.
-
(2010)
Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis
-
-
Kim, H.1
Heo, S.2
Lee, J.3
Huh, J.4
Kim, J.5
-
32
-
-
79951719950
-
Probabilistic distance-based arbitration: Providing equality of service for many-core cmps
-
M. M. Lee, J. Kim, D. Abts, M. R. Marty, and J. W. Lee, "Probabilistic Distance-Based Arbitration: Providing Equality of Service for Many-Core CMPs," in Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, 2010.
-
(2010)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
-
-
Lee, M.M.1
Kim, J.2
Abts, D.3
Marty, M.R.4
Lee, J.W.5
-
33
-
-
27544463701
-
Near-optimal worst-case throughput routing for two-dimensional mesh networks
-
May
-
D. Seo, A. Ali, W.-T. Lim, N. Rafique, and M. Thottethodi, "Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks," SIGARCH Computer Architecture News, vol. 33, no. 2, May 2005.
-
(2005)
SIGARCH Computer Architecture News
, vol.33
, Issue.2
-
-
Seo, D.1
Ali, A.2
Lim, W.-T.3
Rafique, N.4
Thottethodi, M.5
-
34
-
-
84881449940
-
-
BookSim 2.0. [Online]. Available: http://nocs.stanford.edu/booksim.html
-
-
-
|