메뉴 건너뛰기




Volumn , Issue , 2013, Pages 615-626

Tiered-latency DRAM: A low latency and low cost DRAM architecture

Author keywords

[No Author keywords available]

Indexed keywords

ACCESS LATENCY; AREA OVERHEAD; BITLINE CAPACITANCE; COMPLEX COMPUTER SYSTEMS; MEMORY LATENCIES; PARASITIC CAPACITANCE; PERFORMANCE BOTTLENECKS; SENSE AMPLIFIER;

EID: 84880276949     PISSN: 15300897     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1109/HPCA.2013.6522354     Document Type: Conference Paper
Times cited : (270)

References (60)
  • 1
    • 84880318120 scopus 로고    scopus 로고
    • STREAM Benchmark.
    • STREAM Benchmark. http://www.streambench.org/.
  • 2
    • 84864837658 scopus 로고    scopus 로고
    • Multicore DIMM: An energy eXcient memory module with independently controlled DRAMs
    • January
    • J. H. Ahn et al. Multicore DIMM: an energy eXcient memory module with independently controlled DRAMs. IEEE CAL, January 2009.
    • (2009) IEEE CAL
    • Ahn, J.H.1
  • 3
    • 84864831816 scopus 로고    scopus 로고
    • Improving system energy eXciency with memory rank subsetting
    • Mar.
    • J. H. Ahn et al. Improving system energy eXciency with memory rank subsetting. ACM TACO, Mar. 2012.
    • (2012) ACM TACO
    • Ahn, J.H.1
  • 4
    • 84864843567 scopus 로고    scopus 로고
    • Staged memory scheduling: Achieving high performance and scalability in heterogeneous systems
    • R. Ausavarungnirun et al. Staged memory scheduling: achieving high performance and scalability in heterogeneous systems. In ISCA, 2012.
    • (2012) ISCA
    • Ausavarungnirun, R.1
  • 5
    • 79955370378 scopus 로고    scopus 로고
    • The future of microprocessors
    • S. Borkar and A. A. Chien. The future of microprocessors. In CACM, 2011.
    • (2011) CACM
    • Borkar, S.1    Chien, A.A.2
  • 6
    • 50949108937 scopus 로고    scopus 로고
    • An RLDRAM II implementation of a 10Gbps shared packet buuer for network processing
    • D. Burns et al. An RLDRAM II Implementation of a 10Gbps Shared Packet BuUer for Network Processing. In AHS, 2007.
    • (2007) AHS
    • Burns, D.1
  • 7
    • 84989342078 scopus 로고
    • Scheduling and page migration for multiprocessor compute servers
    • R. Chandra et al. Scheduling and page migration for multiprocessor compute servers. In ASPLOS, 1994.
    • (1994) ASPLOS
    • Chandra, R.1
  • 8
    • 84867531151 scopus 로고    scopus 로고
    • Hardware identiVcation of cache conWict misses
    • J. Collins and D. M. Tullsen. Hardware identiVcation of cache conWict misses. In MICRO, 1999.
    • (1999) MICRO
    • Collins, J.1    Tullsen, D.M.2
  • 9
    • 84863348772 scopus 로고    scopus 로고
    • Parallel application memory scheduling
    • E. Ebrahimi et al. Parallel application memory scheduling. In MICRO, 2011.
    • (2011) MICRO
    • Ebrahimi, E.1
  • 10
    • 85060012555 scopus 로고    scopus 로고
    • Enhanced Memory Systems
    • Enhanced Memory Systems. Enhanced SDRAM SM2604, 2002.
    • (2002) Enhanced SDRAM SM2604
  • 11
    • 0033358971 scopus 로고    scopus 로고
    • Reducing power in superscalar processor caches using subbanking, multiple line buUers and bit-line segmentation
    • K. Ghose and M. B. Kamble. Reducing power in superscalar processor caches using subbanking, multiple line buUers and bit-line segmentation. In ISLPED, 1999.
    • (1999) ISLPED
    • Ghose, K.1    Kamble, M.B.2
  • 12
    • 84880276074 scopus 로고
    • CDRAM in a uniVed memory architecture
    • Digest of Papers
    • C. A. Hart. CDRAM in a uniVed memory architecture. In Compcon Spring '94, Digest of Papers, 1994.
    • (1994) Compcon Spring '94
    • Hart, C.A.1
  • 13
    • 0025419834 scopus 로고
    • The cache DRAM architecture: A DRAM with an on-chip cache memory
    • March
    • H. Hidaka et al. The cache DRAM architecture: A DRAM with an on-chip cache memory. IEEE Micro, March 1990.
    • (1990) IEEE Micro
    • Hidaka, H.1
  • 14
    • 84880272984 scopus 로고    scopus 로고
    • HPC Challenge. GUPS.
    • HPC Challenge. GUPS. http://icl.cs.utk.edu/projectsfiles/hpcc/ RandomAccess/.
  • 15
    • 0027191655 scopus 로고
    • Performance of cached DRAM organizations in vector supercomputers
    • W.-C. Hsu and J. E. Smith. Performance of cached DRAM organizations in vector supercomputers. In ISCA, 1993.
    • (1993) ISCA
    • Hsu, W.-C.1    Smith, J.E.2
  • 17
    • 77954998134 scopus 로고    scopus 로고
    • High performance cache replacement using re-reference interval prediction
    • A. Jaleel et al. High performance cache replacement using re-reference interval prediction. In ISCA, 2010.
    • (2010) ISCA
    • Jaleel, A.1
  • 18
    • 84867500721 scopus 로고    scopus 로고
    • Run-time cache bypassing
    • T. Johnson et al. Run-time cache bypassing. IEEE TC, 1999.
    • (1999) IEEE TC
    • Johnson, T.1
  • 22
    • 77952558442 scopus 로고    scopus 로고
    • ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers
    • Y. Kim et al. ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers. In HPCA, 2010.
    • (2010) HPCA
    • Kim, Y.1
  • 23
    • 79951718838 scopus 로고    scopus 로고
    • Thread cluster memory scheduling: Exploiting diUerences in memory access behavior
    • Y. Kim et al. Thread cluster memory scheduling: Exploiting diUerences in memory access behavior. In MICRO, 2010.
    • (2010) MICRO
    • Kim, Y.1
  • 24
    • 84864850807 scopus 로고    scopus 로고
    • A case for exploiting subarray-level parallelism (SALP) in DRAM
    • Y. Kim et al. A case for exploiting subarray-level parallelism (SALP) in DRAM. In ISCA, 2012.
    • (2012) ISCA
    • Kim, Y.1
  • 25
    • 0000273226 scopus 로고    scopus 로고
    • 64Mb 6.8ns random row access DRAM macro for ASICs
    • T. Kimura et al. 64Mb 6.8ns random row access DRAM macro for ASICs. In ISSCC, 1999.
    • (1999) ISSCC
    • Kimura, T.1
  • 26
    • 31944440969 scopus 로고    scopus 로고
    • Pin: Building customized program analysis tools with dynamic instrumentation
    • C.-K. Luk et al. Pin: building customized program analysis tools with dynamic instrumentation. In PLDI, 2005.
    • (2005) PLDI
    • Luk, C.-K.1
  • 27
    • 84880306069 scopus 로고    scopus 로고
    • RLDRAM 2 and 3 SpeciVcations.
    • Micron. RLDRAM 2 and 3 SpeciVcations. http://www.micron.com/products/ dram/rldram-memory.
  • 28
    • 84880257574 scopus 로고    scopus 로고
    • Verilog: DDR3 SDRAM Verilog model.
    • Micron. Verilog: DDR3 SDRAM Verilog model. http://www. micron.com/get-document/?documentId=808.
  • 30
    • 70349280617 scopus 로고    scopus 로고
    • 1.2V 1.6Gb/s 56nm 6F2 4Gb DDR3 SDRAM with hybrid-I/O sense ampliVer and segmented sub-array architecture
    • Y. Moon et al. 1.2V 1.6Gb/s 56nm 6F2 4Gb DDR3 SDRAM with hybrid-I/O sense ampliVer and segmented sub-array architecture. ISSCC, 2009.
    • (2009) ISSCC
    • Moon, Y.1
  • 31
    • 47349122373 scopus 로고    scopus 로고
    • Stall-time fair memory access scheduling for chip multiprocessors
    • O. Mutlu and T. Moscibroda. Stall-time fair memory access scheduling for chip multiprocessors. In MICRO, 2007.
    • (2007) MICRO
    • Mutlu, O.1    Moscibroda, T.2
  • 32
    • 52649119398 scopus 로고    scopus 로고
    • Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared DRAM systems
    • O. Mutlu and T. Moscibroda. Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared DRAM systems. In ISCA, 2008.
    • (2008) ISCA
    • Mutlu, O.1    Moscibroda, T.2
  • 33
    • 33947259838 scopus 로고    scopus 로고
    • High performance 45-nm SOI technology with enhanced strain, porous low-k BEOL, and immersion lithography
    • S. Narasimha et al. High performance 45-nm SOI technology with enhanced strain, porous low-k BEOL, and immersion lithography. In IEDM, 2006.
    • (2006) IEDM
    • Narasimha, S.1
  • 35
    • 11844307212 scopus 로고    scopus 로고
    • Latency lags bandwith
    • Oct.
    • D. A. Patterson. Latency lags bandwith. Commun. ACM, Oct. 2004.
    • (2004) Commun. ACM
    • Patterson, D.A.1
  • 36
    • 84867520526 scopus 로고    scopus 로고
    • Exploiting single-usage for eUective memory management
    • T. Piquet et al. Exploiting single-usage for eUective memory management. In ACSAC, 2007.
    • (2007) ACSAC
    • Piquet, T.1
  • 37
    • 35348920021 scopus 로고    scopus 로고
    • Adaptive insertion policies for high performance caching
    • M. K. Qureshi et al. Adaptive insertion policies for high performance caching. In ISCA, 2007.
    • (2007) ISCA
    • Qureshi, M.K.1
  • 38
    • 34548042910 scopus 로고    scopus 로고
    • Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches
    • M. K. Qureshi and Y. N. Patt. Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches. In MICRO, 2006.
    • (2006) MICRO
    • Qureshi, M.K.1    Patt, Y.N.2
  • 39
    • 84864831814 scopus 로고    scopus 로고
    • Rambus. DRAM Power Model. http://www.rambus.com/energy, 2010.
    • (2010) DRAM Power Model.
  • 40
    • 84880253263 scopus 로고    scopus 로고
    • Exploiting non-uniform memory access patterns through bitline segmentation
    • R. Rao et al. Exploiting non-uniform memory access patterns through bitline segmentation. In WMPI, 2006.
    • (2006) WMPI
    • Rao, R.1
  • 41
    • 0033691565 scopus 로고    scopus 로고
    • Memory access scheduling
    • S. Rixner et al. Memory access scheduling. In ISCA, 2000.
    • (2000) ISCA
    • Rixner, S.1
  • 42
    • 84864831813 scopus 로고    scopus 로고
    • DRAMSim2: A cycle accurate memory system simulator
    • January
    • P. Rosenfeld et al. DRAMSim2: A cycle accurate memory system simulator. IEEE CAL, January 2011.
    • (2011) IEEE CAL
    • Rosenfeld, P.1
  • 43
    • 84880256539 scopus 로고    scopus 로고
    • Samsung. DRAM Data Sheet. http://www.samsung.com/global/business/ semiconductor/product.
    • DRAM Data Sheet
  • 45
    • 0031641453 scopus 로고    scopus 로고
    • Fast Cycle RAM (FCRAM); A 20-ns random row access, pipe-lined operating DRAM
    • Y. Sato et al. Fast Cycle RAM (FCRAM); a 20-ns random row access, pipe-lined operating DRAM. In Symposium on VLSI Circuits, 1998.
    • (1998) Symposium on VLSI Circuits
    • Sato, Y.1
  • 46
    • 84867569482 scopus 로고    scopus 로고
    • The Evicted-Address Filter: A uniVed mechanism to address both cache pollution and thrashing
    • V. Seshadri et al. The Evicted-Address Filter: A uniVed mechanism to address both cache pollution and thrashing. In PACT, 2012.
    • (2012) PACT
    • Seshadri, V.1
  • 49
    • 0034443570 scopus 로고    scopus 로고
    • Symbiotic jobscheduling for a simultaneous multithreaded processor
    • A. Snavely and D. M. Tullsen. Symbiotic jobscheduling for a simultaneous multithreaded processor. In ASPLOS, 2000.
    • (2000) ASPLOS
    • Snavely, A.1    Tullsen, D.M.2
  • 50
    • 77952283542 scopus 로고    scopus 로고
    • Micro-pages: Increasing DRAM eXciency with localityaware data placement
    • K. Sudan et al. Micro-pages: Increasing DRAM eXciency with localityaware data placement. In ASPLOS, 2010.
    • (2010) ASPLOS
    • Sudan, K.1
  • 51
    • 52649139073 scopus 로고    scopus 로고
    • A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies
    • S. Thoziyoor et al. A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies. In ISCA, 2008.
    • (2008) ISCA
    • Thoziyoor, S.1
  • 52
    • 84880269325 scopus 로고    scopus 로고
    • Transaction Processing Performance Council.
    • Transaction Processing Performance Council. http://www.tpc. org/.
  • 53
    • 0001957806 scopus 로고    scopus 로고
    • Operating system support for improving data locality on cc-numa compute servers
    • B. Verghese et al. Operating system support for improving data locality on cc-numa compute servers. In ASPLOS, 1996.
    • (1996) ASPLOS
    • Verghese, B.1
  • 54
    • 79951702954 scopus 로고    scopus 로고
    • Understanding the energy consumption of dynamic random access memories
    • T. Vogelsang. Understanding the energy consumption of dynamic random access memories. In MICRO, 2010.
    • (2010) MICRO
    • Vogelsang, T.1
  • 55
    • 49749122679 scopus 로고    scopus 로고
    • Improving power and data eXciency with threaded memory modules
    • F. Ware and C. Hampel. Improving power and data eXciency with threaded memory modules. In ICCD, 2006.
    • (2006) ICCD
    • Ware, F.1    Hampel, C.2
  • 56
    • 1342320051 scopus 로고    scopus 로고
    • The memory gap and the future of high performance memories
    • News, March
    • M. V. Wilkes. The memory gap and the future of high performance memories. SIGARCH Comput. Archit. News, March 2001.
    • (2001) SIGARCH Comput. Archit
    • Wilkes, M.V.1
  • 57
  • 58
    • 0003158656 scopus 로고
    • Hitting the memory wall: Implications of the obvious
    • News, March
    • W. A. Wulf and S. A. McKee. Hitting the memory wall: implications of the obvious. SIGARCH Comput. Archit. News, March 1995.
    • (1995) SIGARCH Comput. Archit
    • Wulf, W.A.1    McKee, S.A.2
  • 59
    • 0035389657 scopus 로고    scopus 로고
    • Cached DRAM for ILP processor memory access latency reduction
    • July
    • Z. Zhang et al. Cached DRAM for ILP processor memory access latency reduction. IEEE Micro, July 2001.
    • (2001) IEEE Micro
    • Zhang, Z.1
  • 60
    • 66749162556 scopus 로고    scopus 로고
    • Mini-rank: Adaptive DRAM architecture for improving memory power eXciency
    • H. Zheng et al. Mini-rank: Adaptive DRAM architecture for improving memory power eXciency. In MICRO, 2008.
    • (2008) MICRO
    • Zheng, H.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.