-
1
-
-
84864851853
-
-
Advanced Micro Devices. AMD Radeon HD 5870 Graphics. http://www.amd.com/ us/products/desktop/graphics/ati-radeon-hd-5000/hd-5870.
-
AMD Radeon HD 5870 Graphics
-
-
-
2
-
-
84864862973
-
DRAM scheduling policy for GPGPU architectures based on a potential function
-
N. B Lakshminarayana et al. DRAM scheduling policy for GPGPU architectures based on a potential function. IEEE CAL, 2011.
-
(2011)
IEEE CAL
-
-
Lakshminarayana, N.B.1
-
3
-
-
84864864766
-
Effect of instruction fetch and memory scheduling on GPU performance
-
N. B. Lakshminarayana and H. Kim. Effect of instruction fetch and memory scheduling on GPU performance. GPGPU Workshop, 2010.
-
(2010)
GPGPU Workshop
-
-
Lakshminarayana, N.B.1
Kim, H.2
-
4
-
-
79955410879
-
Bobcat: AMD's low-power x86 processor
-
B. Burgess et al. Bobcat: AMD's low-power x86 processor. IEEE Micro, 2011.
-
(2011)
IEEE Micro
-
-
Burgess, B.1
-
5
-
-
76749124429
-
Application-aware prioritization mechanisms for on-chip networks
-
R. Das et al. Application-aware prioritization mechanisms for on-chip networks. In MICRO-42, 2009.
-
(2009)
MICRO-42
-
-
Das, R.1
-
6
-
-
77952285828
-
Fairness via source throttling: A configurable and high-performance fairness substrate for multi-core memory systems
-
E. Ebrahimi et al. Fairness via source throttling: a configurable and high-performance fairness substrate for multi-core memory systems. InASPLOS-15, 2010.
-
(2010)
ASPLOS-15
-
-
Ebrahimi, E.1
-
7
-
-
84863348772
-
Parallel application memory scheduling
-
E. Ebrahimi et al. Parallel application memory scheduling. In MICRO-44, 2011.
-
(2011)
MICRO-44
-
-
Ebrahimi, E.1
-
8
-
-
47249094055
-
System-level performance metrics for multiprogram workloads
-
S. Eyerman and L. Eeckhout. System-level performance metrics for multiprogram workloads. In MICRO-41, 2008.
-
(2008)
MICRO-41
-
-
Eyerman, S.1
Eeckhout, L.2
-
9
-
-
84864837514
-
The microarchitecture of Intel
-
A. Fog. The Microarchitecture of Intel, AMD and VIA CPUs. http://www.agner.org/optimize/#manuals.
-
AMD and VIA CPUs
-
-
Fog, A.1
-
11
-
-
52649148744
-
Self-optimizing memory controllers: A reinforcement learning approach
-
E. Ipek et al. Self-optimizing memory controllers: A reinforcement learning approach. In ISCA, 2008.
-
(2008)
ISCA
-
-
Ipek, E.1
-
12
-
-
84863550145
-
A QoS-aware memory controller for dynamically balancing GPU and CPU bandwidth use in an MPSoC
-
M. K. Jeong et al. A QoS-aware memory controller for dynamically balancing GPU and CPU bandwidth use in an MPSoC. In DAC-49, 2012.
-
(2012)
DAC-49
-
-
Jeong, M.K.1
-
13
-
-
84858772659
-
Minimalist open-page: A DRAM page-mode scheduling policy for the many-core era
-
D. Kaseridis et al. Minimalist open-page: A DRAM page-mode scheduling policy for the many-core era. In MICRO-44, 2011.
-
(2011)
MICRO-44
-
-
Kaseridis, D.1
-
14
-
-
77952558442
-
ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers
-
Y. Kim et al. ATLAS: a scalable and high-performance scheduling algorithm for multiple memory controllers. In HPCA-16, 2010.
-
(2010)
HPCA-16
-
-
Kim, Y.1
-
15
-
-
79951718838
-
Thread cluster memory scheduling: Exploiting differences in memory access behavior
-
Y. Kim et al. Thread cluster memory scheduling: Exploiting differences in memory access behavior. In MICRO-43, 2010.
-
(2010)
MICRO-43
-
-
Kim, Y.1
-
16
-
-
77954995885
-
Debunking the 100x GPU vs. CPU myth: An evaluation of throughput computing on CPU and GPU
-
V.W. Lee et al. Debunking the 100x GPU vs. CPU myth: an evaluation of throughput computing on CPU and GPU. In ISCA-37, 2010.
-
(2010)
ISCA-37
-
-
Lee, V.W.1
-
17
-
-
44849137198
-
NVidia Tesla: A unified graphics and computing architecture
-
E. Lindholm et al. NVidia Tesla: A unified graphics and computing architecture. IEEE Micro, 2008.
-
(2008)
IEEE Micro
-
-
Lindholm, E.1
-
18
-
-
31944440969
-
Pin: Building customized program analysis tools with dynamicinstrumentation
-
C. Luk et al. Pin: Building customized program analysis tools with dynamicinstrumentation. In PLDI, 2005.
-
(2005)
PLDI
-
-
Luk, C.1
-
19
-
-
0034314462
-
Dynamic access ordering for streamed computations
-
S. A. McKee et al. Dynamic access ordering for streamed computations. IEEE Transactions on Computers, 2000.
-
(2000)
IEEE Transactions on Computers
-
-
McKee, S.A.1
-
20
-
-
84864864771
-
-
Micron Technology Inc.
-
Micron Technology Inc. 1Gb: ×4, ×8, ×16 DDR3 SDRAM Features. http://download.micron.com/pdf/datasheets/dram/ddr3/1Gb-DDR3-SDRAM. pdf.
-
1Gb: ×4, ×8, ×16 DDR3 SDRAM Features
-
-
-
21
-
-
52649128991
-
Memory performance attacks: Denial of memory service in multi-core systems
-
T. Moscibroda and O. Mutlu. Memory performance attacks: Denial of memory service in multi-core systems. In USENIX Security, 2007.
-
(2007)
USENIX Security
-
-
Moscibroda, T.1
Mutlu, O.2
-
22
-
-
84864862982
-
Multi-level DRAM controller to manage access to DRAM
-
U.S. Patent Number 8001338, Aug
-
T. Moscibroda and O. Mutlu. Multi-level DRAM controller to manage access to DRAM. U.S. Patent Number 8001338, Aug 2011.
-
(2011)
-
-
Moscibroda, T.1
Mutlu, O.2
-
23
-
-
84858771269
-
Reducing memory interference in multi-core systems via application-aware memory channel partitioning
-
S. Muralidhara et al. Reducing memory interference in multi-core systems via application-aware memory channel partitioning. In MICRO-44, 2011.
-
(2011)
MICRO-44
-
-
Muralidhara, S.1
-
24
-
-
47349122373
-
Stall-time fair memory access scheduling for chip multiprocessors
-
O. Mutlu and T. Moscibroda. Stall-time fair memory access scheduling for chip multiprocessors. In MICRO-40, 2007.
-
(2007)
MICRO-40
-
-
Mutlu, O.1
Moscibroda, T.2
-
25
-
-
52649119398
-
Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared DRAM systems
-
O. Mutlu and T. Moscibroda. Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared DRAM systems. In ISCA-35, 2008.
-
(2008)
ISCA-35
-
-
Mutlu, O.1
Moscibroda, T.2
-
26
-
-
34548050337
-
Fair queuing memory systems
-
K. J. Nesbit et al. Fair queuing memory systems. In MICRO, 2006.
-
(2006)
MICRO
-
-
Nesbit, K.J.1
-
28
-
-
0030676681
-
Complexity-effective superscalar processors
-
S. Palacharla et al. Complexity-effective superscalar processors. In ISCA- 24, 1997.
-
(1997)
ISCA-24
-
-
Palacharla, S.1
-
29
-
-
21644454187
-
Pinpointing representative portions of large Intel Itanium programs with dynamic instrumentation
-
H. Patil et al. Pinpointing representative portions of large Intel Itanium programs with dynamic instrumentation. In MICRO-37, 2004.
-
(2004)
MICRO-37
-
-
Patil, H.1
-
30
-
-
47849130815
-
Effective management of DRAM bandwidth in multicore processors
-
N. Rafique et al. Effective management of DRAM bandwidth in multicore processors. In PACT, 2007.
-
(2007)
PACT
-
-
Rafique, N.1
-
31
-
-
0033691565
-
Memory access scheduling
-
S. Rixner et al. Memory access scheduling. In ISCA-27, 2000.
-
(2000)
ISCA-27
-
-
Rixner, S.1
-
32
-
-
82655162782
-
PTask: Operating system abstractions to manage GPUs as compute devices
-
C. J. Rossbach et al. PTask: operating system abstractions to manage GPUs as compute devices. In SOSP-23, 2011.
-
(2011)
SOSP-23
-
-
Rossbach, C.J.1
-
33
-
-
0034443570
-
Symbiotic jobscheduling for a simultaneous multithreaded processor
-
A. Snavely and D. M. Tullsen. Symbiotic jobscheduling for a simultaneous multithreaded processor. In ASPLOS-9, 2000.
-
(2000)
ASPLOS-9
-
-
Snavely, A.1
Tullsen, D.M.2
-
34
-
-
79959563149
-
Fairness metrics for multi-threaded processors
-
H. Vandierendonck and A. Seznec. Fairness metrics for multi-threaded processors. IEEE CAL, 2011.
-
(2011)
IEEE CAL
-
-
Vandierendonck, H.1
Seznec, A.2
-
35
-
-
76749123978
-
Complexity effective memory access scheduling for many-core accelerator architectures
-
G. L. Yuan et al. Complexity effective memory access scheduling for many-core accelerator architectures. In MICRO-42, 2009.
-
(2009)
MICRO-42
-
-
Yuan, G.L.1
-
36
-
-
28444470842
-
A performance comparison of DRAM memory system optimizations for SMT processors
-
Z. Zhu and Z. Zhang. A performance comparison of DRAM memory system optimizations for SMT processors. In HPCA-11, 2005.
-
(2005)
HPCA-11
-
-
Zhu, Z.1
Zhang, Z.2
-
37
-
-
52649113530
-
Controller for a synchronous DRAM that maximizes throughput by allowing memory requests and commands to be issued out of order
-
U.S. Patent Number 5,630,096, May
-
W. K. Zuravleff and T. Robinson. Controller for a synchronous DRAM that maximizes throughput by allowing memory requests and commands to be issued out of order. U.S. Patent Number 5,630,096, May 1997.
-
(1997)
-
-
Zuravleff, W.K.1
Robinson, T.2
|