-
2
-
-
34548126965
-
On design and analysis of a feasible Network-on-Chip (NoC) architecture
-
DOI 10.1109/ITNG.2007.139, 4151840, Proceedings - International Conference on Information Technology-New Generations, ITNG 2007
-
J.H. Bahn, S.E. Lee, N. Bagherzadeh, On design and analysis of a feasible Network-on-Chip (NoC) architecture, in: 4th International Conference on Information Technology, ITNG 2007, 2007, pp. 1033-1038. (Pubitemid 47298613)
-
(2007)
Proceedings - International Conference on Information Technology-New Generations, ITNG 2007
, pp. 1033-1038
-
-
Bahn, J.H.1
Lee, S.E.2
Bagherzadeh, N.3
-
3
-
-
44649094484
-
On design and application mapping of a Network-on-Chip (NoC) architecture
-
DOI 10.1142/S0129626408003363, PII S0129626408003363
-
J.H. Bahn, S.E. Lee, Y.S. Yang, J. Yang, and N. Bagherzadeh On design and application mapping of a Network-on-Chip (NoC) architecture Parallel Processing Letters 18 2008 239 255 (Pubitemid 351775136)
-
(2008)
Parallel Processing Letters
, vol.18
, Issue.2
, pp. 239-255
-
-
Bahn, J.H.1
Lee, S.E.2
Yang, Y.S.3
Yang, J.4
Bagherzadeh, N.5
-
5
-
-
84893783336
-
Networks on chip: A new paradigm for systems on chip design
-
L. Benini, G. De Micheli, Networks on chip: a new paradigm for systems on chip design, in: Proc. of Design, Automation and Test Conference in Europe, 2002, pp. 418-419.
-
(2002)
Proc. of Design, Automation and Test Conference in Europe
, pp. 418-419
-
-
Benini, L.1
De Micheli, G.2
-
6
-
-
33745800231
-
A survey of research and practices of network-on-chip
-
T. Bjerregaard, and S. Mahadevan A survey of research and practices of Network-on-Chip ACM Computing Surveys 38 3 2006 (Pubitemid 44025301)
-
(2006)
ACM Computing Surveys
, vol.38
, Issue.1
, pp. 71-121
-
-
Bjerregaard, T.1
Mahadevan, S.2
-
7
-
-
34548308825
-
Congestion-controlled best-effort communication for networks-on-chip
-
DOI 10.1109/DATE.2007.364415, 4211925, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
-
J. Brand, C. Ciordas, K. Goossens, T. Basten, Congestion-controlled best-effort communication for Networks-on-Chip, in: Proc. of Design, Automation and Test Conference in Europe, 2007, pp. 948-953. (Pubitemid 47334081)
-
(2007)
Proceedings -Design, Automation and Test in Europe, DATE
, pp. 948-953
-
-
Van Den Brand, J.W.1
Ciordas, C.2
Goossens, K.3
Basten, T.4
-
8
-
-
34547211508
-
Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs
-
DOI 10.1145/1146909.1146950, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
K. Chang, J. Shen, T. Chen, Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs, in: Proc. of 43rd Annual Conference on Design Automation, DAC 2006, 2006, pp. 143-148. (Pubitemid 47113884)
-
(2006)
Proceedings - Design Automation Conference
, pp. 143-148
-
-
Chang, K.-C.1
Shen, J.-S.2
Chen, T.-F.3
-
10
-
-
62349086227
-
Express cubes: Improving the performance of k-ary n-cube interconnection networks
-
W.J. Dally Express cubes: improving the performance of k-ary n-cube interconnection networks IEEE Transactions on Computers 40 9 1991 1016 1023
-
(1991)
IEEE Transactions on Computers
, vol.40
, Issue.9
, pp. 1016-1023
-
-
Dally, W.J.1
-
11
-
-
0034848112
-
Route packets, not wires: On-chip interconnection networks
-
W.J. Dally, B. Towles, Route packets, not wires: on-chip interconnection networks, in: Proc. of Design Automation Conference, 2001, pp. 684-689. (Pubitemid 32841038)
-
(2001)
Proceedings - Design Automation Conference
, pp. 684-689
-
-
Dally, W.J.1
Towles, B.2
-
13
-
-
57749191721
-
Regional congestion awareness for load balance in Networks-on-Chip
-
P. Gratz, B. Grot, S.W. Keckler, Regional congestion awareness for load balance in Networks-on-Chip, in: Proc. of 14th International Symposium on High-Performance Computer Architecture, HPCA 2008, 2008, pp. 203-214.
-
(2008)
Proc. of 14th International Symposium on High-Performance Computer Architecture, HPCA 2008
, pp. 203-214
-
-
Gratz, P.1
Grot, B.2
Keckler, S.W.3
-
14
-
-
64949096127
-
Express cube topologies for on-chip interconnects
-
B. Grot, J. Hestness, S.W. Keckler, O. Mutlu, Express cube topologies for on-chip interconnects, in: Proc. of 15th International Symposium on High-Performance Computer Architecture, 2009, pp. 163-174.
-
(2009)
Proc. of 15th International Symposium on High-Performance Computer Architecture
, pp. 163-174
-
-
Grot, B.1
Hestness, J.2
Keckler, S.W.3
Mutlu, O.4
-
15
-
-
77954887470
-
A new distributed congestion control mechanism for networks on chip
-
H. Gu, J. Xu, K. Wang, M. Kwai, and H. Morton A new distributed congestion control mechanism for networks on chip Telecommunication Systems 44 3-4 2010 321 331
-
(2010)
Telecommunication Systems
, vol.44
, Issue.34
, pp. 321-331
-
-
Gu, H.1
Xu, J.2
Wang, K.3
Kwai, M.4
Morton, H.5
-
16
-
-
84962259776
-
System-level point-to-point communication synthesis using floorplanning information
-
J. Hu, Y. Deng, R. Marculescu, System-level point-to-point communication synthesis using floorplanning information, in: Proc. of Asia South Pacific Design Automation/VLSI Design Conference, ASP-DAC 2002, 2002, pp. 573-580.
-
(2002)
Proc. of Asia South Pacific Design Automation/VLSI Design Conference, ASP-DAC 2002
, pp. 573-580
-
-
Hu, J.1
Deng, Y.2
Marculescu, R.3
-
17
-
-
0036224755
-
A diagonal interconnect architecture and its application to RISC core design
-
M. Igarashi, T. Mitsuhashi, A. Le, S. Kazi, Y. Lin, A. Fujimura, S. Teig, A Diagonal-interconnect Architecture and its Application to RISC Core Design, IEIC Technical Report (Institute of Electronics, Information and Communication Engineers), vol. 102, 2002, pp. 19-23. (Pubitemid 34434716)
-
(2002)
Digest of Technical Papers - IEEE International Solid-State Circuits Conference
, Issue.SUPPL.
, pp. 166-167
-
-
Igarashi, M.1
Mitsuhashi, T.2
Le, A.3
Kazi, S.4
Lin, Y.-T.5
Fujimura, A.6
Teig, S.7
-
18
-
-
70350060187
-
ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration
-
Nice, France
-
A. Kahng, B. Li, L. Peh, K. Samadi, ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration, in: Proc. of Design Automation and Test in Europe(DATE), Nice, France, pp. 423-428, 2009.
-
(2009)
Proc. of Design Automation and Test in Europe(DATE)
, pp. 423-428
-
-
Kahng, A.1
Li, B.2
Peh, L.3
Samadi, K.4
-
19
-
-
68049105946
-
A variable frequency link for a power-aware Network-on-Chip (NoC)
-
S.E. Lee, and N. Bagherzadeh A variable frequency link for a power-aware Network-on-Chip (NoC) Integration, the VLSI Journal 42 4 2009 479 485
-
(2009)
Integration, the VLSI Journal
, vol.42
, Issue.4
, pp. 479-485
-
-
Lee, S.E.1
Bagherzadeh, N.2
-
22
-
-
0032640654
-
On the performance merits of bypass channels in hypermeshes and k-ary n-cubes
-
S. Loucif, M. Ould-Khaoua, and L.M. Mackenzie On the performance merits of bypass channels in hypermeshes and k-ary n-cubes The Computer Journal 42 1 1999 62 72
-
(1999)
The Computer Journal
, vol.42
, Issue.1
, pp. 62-72
-
-
Loucif, S.1
Ould-Khaoua, M.2
MacKenzie, L.M.3
-
23
-
-
27644446882
-
Spatial division multiplexing: A novel approach for guaranteed throughput on NoCs
-
CODES+ISSS 2005 - International Conference on Hardware/Software Codesign and Systems Synthesis
-
A. Leroy, P. Marchal, A. Shickova, F. Catthoor, F. Robert, D. Verkest, Spatial division multiplexing: a novel approach for guaranteed throughput on NoCs, in: 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005, pp. 81-86. (Pubitemid 41551289)
-
(2005)
CODES+ISSS 2005 - International Conference on Hardware/Software Codesign and System Synthesis
, pp. 81-86
-
-
Leroy, A.1
Marchal, P.2
Shickova, A.3
Catthoor, F.4
Robert, F.5
Verkest, D.6
-
24
-
-
84893736605
-
Load distribution with the proximity congestion awareness in a network on chip
-
E. Nilsson, M. Millberg, J. Oberg, A. Jantsch, Load distribution with the proximity congestion awareness in a network on chip, in: Design, Automation and Test Conference and Exhibition in Europe, 2003, pp. 1126-1127.
-
(2003)
Design, Automation and Test Conference and Exhibition in Europe
, pp. 1126-1127
-
-
Nilsson, E.1
Millberg, M.2
Oberg, J.3
Jantsch, A.4
-
25
-
-
33746930901
-
"It's a small world after all": NoC performance optimization via long-range link insertion
-
DOI 10.1109/TVLSI.2006.878263, 1661619
-
U.Y. Ogras, and R. Marculescu "It's a Small World After All": NoC performance optimization via long-range link insertion IEEE Transactions on VLSI Systems 14 7 2006 693 706 (Pubitemid 44192223)
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.7
, pp. 693-706
-
-
Ogras, U.Y.1
Marculescu, R.2
-
26
-
-
34547210346
-
Prediction-based flow control for network-on-chip traffic
-
DOI 10.1145/1146909.1147123, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
U.Y. Ogras, R. Marculescu, Prediction-based flow control for Network-on-Chip traffic, in: Proc. of 43rd Annual Conference on Design Automation, 2006, pp. 839-844. (Pubitemid 47114011)
-
(2006)
Proceedings - Design Automation Conference
, pp. 839-844
-
-
Ogras, U.Y.1
Marculescu, R.2
-
27
-
-
68849099730
-
Survey of Network-on-Chip proposals
-
OCP-IP
-
E. Salminen, A. Kulmala, T.D. Hamalainen, Survey of Network-on-Chip proposals, White Paper, OCP-IP, 2008, pp. 1-13.
-
(2008)
White Paper
, pp. 1-13
-
-
Salminen, E.1
Kulmala, A.2
Hamalainen, T.D.3
-
28
-
-
78650272949
-
-
Synopsys, Synopsys Design Compiler, Primetime Px
-
Synopsys, Synopsys Design Compiler, Primetime Px. .
-
-
-
-
29
-
-
0031125708
-
Proof of a fundamental result in self-similar traffic modeling
-
M.S. Taqqu, W. Willinger, and R. Sherman Proof of a fundamental result in self-similar traffic modeling SIGCOMM Computer Communication Review 27 1997 5 23 (Pubitemid 127566055)
-
(1997)
Computer Communication Review
, vol.27
, Issue.2
, pp. 5-23
-
-
Taqqu, M.S.1
Willinger, W.2
Sherman, R.3
-
31
-
-
78650291843
-
Self-optimized routing in a Network-on-a-Chip
-
Milano, Italy
-
W. Trumler, S. Schlingmann, T. Ungerer, J.H. Bahn, N. Bagherzadeh, Self-optimized routing in a Network-on-a-Chip, in: 2nd International Conference on Biologically-Inspired Collaborative Computing, Milano, Italy, 2008, pp. 1-14.
-
(2008)
2nd International Conference on Biologically-Inspired Collaborative Computing
, pp. 1-14
-
-
Trumler, W.1
Schlingmann, S.2
Ungerer, T.3
Bahn, J.H.4
Bagherzadeh, N.5
-
32
-
-
34548858682
-
An 80-Tile 1.28TFLOPS network-on-chip in 65nm CMOS
-
DOI 10.1109/ISSCC.2007.373606, 4242283, 2007 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers
-
S. Vangal et al., An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS, in: IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2007, pp. 98-589. (Pubitemid 47447947)
-
(2007)
Digest of Technical Papers - IEEE International Solid-State Circuits Conference
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Iyer, P.8
Singh, A.9
Jacob, T.10
Jain, S.11
Venkataraman, S.12
Hoskote, Y.13
Borkar, N.14
-
33
-
-
0036052460
-
Traffic analysis for on-chip networks design of multimedia applications
-
G. Varatkar, R. Marculescu, Traffic analysis for on-chip networks design of multimedia applications, in: Proc. of 39th Conference on Design Automation, DAC 2002, 2002, pp. 795-800.
-
(2002)
Proc. of 39th Conference on Design Automation, DAC 2002
, pp. 795-800
-
-
Varatkar, G.1
Marculescu, R.2
-
34
-
-
77952659694
-
Area and power-efficient innovative Network-on-Chip architecture
-
Pisa, Italy
-
C. Wang, W. Hu, S.E. Lee, N. Bagherzadeh, Area and power-efficient innovative Network-on-Chip architecture, in: 18th Euromicro International Conference on Parallel, Distributed and Network-Based Computing, PDP 2010, Pisa, Italy, 2010, pp. 533-539.
-
(2010)
18th Euromicro International Conference on Parallel, Distributed and Network-Based Computing, PDP 2010
, pp. 533-539
-
-
Wang, C.1
Hu, W.2
Lee, S.E.3
Bagherzadeh, N.4
-
35
-
-
78650094827
-
Congestion-aware Network-on-Chip router architecture
-
CADS 2010, IPM, Tehran
-
C. Wang, W. Hu, N. Bagherzadeh, Congestion-aware Network-on-Chip router architecture, in: 15th CSI International Symposium on Computer Architecture and Digital Systems, CADS 2010, IPM, Tehran, 2010.
-
(2010)
15th CSI International Symposium on Computer Architecture and Digital Systems
-
-
Wang, C.1
Hu, W.2
Bagherzadeh, N.3
-
36
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
S.C. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta, The SPLASH-2 programs: characterization and methodological considerations, in: Proc. of 22nd Annual International Symposium on Computer Architecture, ISCA 1995, 1995, pp. 24-36.
-
(1995)
Proc. of 22nd Annual International Symposium on Computer Architecture, ISCA 1995
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
37
-
-
33748595257
-
Improving routing efficiency for network-on-chip through contention-aware input selection
-
1594642, Proceedings of the ASP-DAC 2006: Asia and South Pacific Design Automation Conference 2006
-
D. Wu, B.M. Al-Hashimi, M.T. Schmitz, Improving routing efficiency for Network-on-Chip through contention-aware input selection, in: Proc. of Asia South Pacific Design Automation Conference, 2006, pp. 36-41. (Pubitemid 44375887)
-
(2006)
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
, vol.2006
, pp. 36-41
-
-
Wu, D.1
Al-Hashimi, B.M.2
Schmitz, M.T.3
|