-
1
-
-
0034848112
-
Route packets, not wires
-
Las Vegas, NV, USA, June 18-22
-
W. J. Dally and B. Towles, "Route packets, not wires," in Proceedings of the Design Automation Conference (DAC), Las Vegas, NV, USA, June 18-22 2001.
-
(2001)
Proceedings of the Design Automation Conference (DAC)
-
-
Dally, W.J.1
Towles, B.2
-
2
-
-
0036149420
-
Networks on chips: A new soc paradigm
-
L. Benini and G. D. Micheli, "Networks on chips: A new soc paradigm," IEEE Computer, vol. 35, pp. 70-78, 2002.
-
(2002)
IEEE Computer
, vol.35
, pp. 70-78
-
-
Benini, L.1
Micheli, G.D.2
-
3
-
-
36849063126
-
Research challenges for on-chip interconnection networks
-
September-October
-
J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler, and L. S. Peh, "Research challenges for on-chip interconnection networks," IEEE Micro, vol. 27, no. 5, pp. 96-108, September-October 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 96-108
-
-
Owens, J.D.1
Dally, W.J.2
Ho, R.3
Jayasimha, D.N.4
Keckler, S.W.5
Peh, L.S.6
-
4
-
-
36849022584
-
A 5-ghz mesh interconnect for a teraflops processor
-
Sept/Oct
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar, "A 5-ghz mesh interconnect for a teraflops processor," IEEE Micro, pp. 51-61, Sept/Oct 2007.
-
(2007)
IEEE Micro
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
5
-
-
16244389647
-
Application-specific buffer space allocation for network-on-chip router design
-
San Jose, CA, USA, November 7-11
-
J. Hu and R. Marculescu, "Application-specific buffer space allocation for network-on-chip router design," in Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Jose, CA, USA, November 7-11 2004, pp. 354-361.
-
(2004)
Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD)
, pp. 354-361
-
-
Hu, J.1
Marculescu, R.2
-
6
-
-
40349107206
-
Vichar: A dynamic virtual channel regulator for network-on-chip routers
-
Orlando, FL, USA, December 9-13
-
C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, "Vichar: A dynamic virtual channel regulator for network-on-chip routers," in Proceedings of the 39th Annual International Symposium on Microarchitecture (MICRO), Orlando, FL, USA, December 9-13 2006, pp. 333-344.
-
(2006)
Proceedings of the 39th Annual International Symposium on Microarchitecture (MICRO)
, pp. 333-344
-
-
Nicopoulos, C.A.1
Park, D.2
Kim, J.3
Vijaykrishnan, N.4
Yousif, M.S.5
Das, C.R.6
-
7
-
-
52649149257
-
ideal: Inter-router dual-function energy and area-efficient links for network-on-chip (noc) architectures
-
June
-
A. K. Kodi, A. Sarathy, and A. Louri, "ideal: Inter-router dual-function energy and area-efficient links for network-on-chip (noc) architectures," in Proceedings of the International Symposium on Computer Architecture (ISCA), June 2008, pp. 241-250.
-
(2008)
Proceedings of the International Symposium on Computer Architecture (ISCA)
, pp. 241-250
-
-
Kodi, A.K.1
Sarathy, A.2
Louri, A.3
-
8
-
-
84862144932
-
Power-driven design of router microarchitectures in on-chip networks
-
Washington DC, USA, December 03-05
-
H. S. Wang, L. S. Peh, and S. Malik, "Power-driven design of router microarchitectures in on-chip networks," in Proceedings of the 36th Annual ACM/IEEE International Symposium on Microarchitecture, Washington DC, USA, December 03-05 2003, pp. 105-116.
-
(2003)
Proceedings of the 36th Annual ACM/IEEE International Symposium on Microarchitecture
, pp. 105-116
-
-
Wang, H.S.1
Peh, L.S.2
Malik, S.3
-
9
-
-
52649135185
-
Mira: A multi-layered on-chip interconnect for router architecture
-
June
-
S. E. Dongkook Park, R. Das, A. K. Mishra, Y. Xie, N. Vijaykrishnan, and C. R. Das, "Mira: A multi-layered on-chip interconnect for router architecture," in Proceedings of the International Symposium on Computer Architecture (ISCA), June 2008, pp. 251-261.
-
(2008)
Proceedings of the International Symposium on Computer Architecture (ISCA)
, pp. 251-261
-
-
Dongkook Park, S.E.1
Das, R.2
Mishra, A.K.3
Xie, Y.4
Vijaykrishnan, N.5
Das, C.R.6
-
10
-
-
35348858651
-
Express virtual channels: Towards the ideal interconnection fabric
-
June 9, 13
-
A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jha, "Express virtual channels: Towards the ideal interconnection fabric," in Proceedings of the International Symposium on Computer Architecture (ISCA), June 9 - 13 2007.
-
(2007)
Proceedings of the International Symposium on Computer Architecture (ISCA)
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
11
-
-
57849125522
-
Noc with near-ideal express virtual channels using global-line communication
-
Stanford, California, August
-
T. Krishna, A. Kumar, P. Chiang, M. Erez, and L.-S. Peh, "Noc with near-ideal express virtual channels using global-line communication," in Proceedings of the Proceedings of Hot Interconnects (HOTI'08), Stanford, California, August 2008.
-
(2008)
Proceedings of the Proceedings of Hot Interconnects (HOTI'08)
-
-
Krishna, T.1
Kumar, A.2
Chiang, P.3
Erez, M.4
Peh, L.-S.5
-
13
-
-
49149094789
-
Adaptive channel buffers in on-chip interconnection networks - a power and performance analysis
-
September
-
A. K. Kodi, A. Sarathy, and A. Louri, "Adaptive channel buffers in on-chip interconnection networks - a power and performance analysis," IEEE Transactions on Computers, vol. 57, pp. 1169 - 1181, September 2008.
-
(2008)
IEEE Transactions on Computers
, vol.57
, pp. 1169-1181
-
-
Kodi, A.K.1
Sarathy, A.2
Louri, A.3
-
14
-
-
0035058593
-
Elastic interconnects: Repeater-inserted long wiring capable of compressing and decompressing data
-
San Fransisco, CA, USA, February 5-7
-
M. Mizuno, W. J. Dally, and H. Onishi, "Elastic interconnects: Repeater-inserted long wiring capable of compressing and decompressing data," in Proceedings of the IEEE International Solid-State Circuits Conference, San Fransisco, CA, USA, February 5-7 2001, pp. 346-347.
-
(2001)
Proceedings of the IEEE International Solid-State Circuits Conference
, pp. 346-347
-
-
Mizuno, M.1
Dally, W.J.2
Onishi, H.3
-
15
-
-
27544488669
-
-
J. Kim, W. Dally, B. Towles, and A. Gupta, Microarchitecture of a high-radix router, in Proceedings of the 32th Annual International Symposium on Computer Architecture (ISCA'05), June 005, pp. 420-431.
-
J. Kim, W. Dally, B. Towles, and A. Gupta, "Microarchitecture of a high-radix router," in Proceedings of the 32th Annual International Symposium on Computer Architecture (ISCA'05), June 005, pp. 420-431.
-
-
-
-
16
-
-
0023704955
-
High-performance multiqueue buffers for vlsi communication switches
-
Honolulu, Hawaii, USA, May-June
-
Y. Tamir and G. L. Frazier, "High-performance multiqueue buffers for vlsi communication switches," in Proceedings of the 15th Annual International Symposium on Computer Architecture (ISCA), Honolulu, Hawaii, USA, May-June 1988, pp. 343-354.
-
(1988)
Proceedings of the 15th Annual International Symposium on Computer Architecture (ISCA)
, pp. 343-354
-
-
Tamir, Y.1
Frazier, G.L.2
-
17
-
-
0032298459
-
Circular buffered switch design with wormhole routing and virtual channels
-
Austin, TX, USA, October
-
N. Ni, M. Pirvu, and L. Bhuyan, "Circular buffered switch design with wormhole routing and virtual channels," in Proceedings of the International Conference on Computer Design (ICCD), Austin, TX, USA, October 1998, pp. 466-473.
-
(1998)
Proceedings of the International Conference on Computer Design (ICCD)
, pp. 466-473
-
-
Ni, N.1
Pirvu, M.2
Bhuyan, L.3
-
18
-
-
0036866915
-
A power-optimal repeater insertion methodology for global interconnects in nanometer designs
-
Nov
-
K. Banerjee and A. Mehrotra, "A power-optimal repeater insertion methodology for global interconnects in nanometer designs," IEEE Transactions on Electron Devices, vol. 49, no. 11, pp. 2001-2007, Nov 2002.
-
(2002)
IEEE Transactions on Electron Devices
, vol.49
, Issue.11
, pp. 2001-2007
-
-
Banerjee, K.1
Mehrotra, A.2
-
19
-
-
56849097592
-
Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture
-
Orlando, Florida, December 3-4
-
A. K. Kodi, A. Sarathy, and A. Louri, "Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture," in Proceedings of the ACM/IEEE Symposium on Architectures for Networking and Communications Systems, Orlando, Florida, December 3-4 2007.
-
(2007)
Proceedings of the ACM/IEEE Symposium on Architectures for Networking and Communications Systems
-
-
Kodi, A.K.1
Sarathy, A.2
Louri, A.3
-
20
-
-
84948976085
-
Orion: A power-performance simulator for interconnection networks
-
Istanbul, Turkey, November 18-22
-
H. S. Wang, X. Zhu, L. S. Peh, and S. Malik, "Orion: A power-performance simulator for interconnection networks," in Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22 2002, pp. 294-305.
-
(2002)
Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture
, pp. 294-305
-
-
Wang, H.S.1
Zhu, X.2
Peh, L.S.3
Malik, S.4
|