-
1
-
-
0036149420
-
Networks on chips: A new SoC paradigm
-
January
-
L. Benini and G. D. Micheli, "Networks on chips: A new SoC paradigm," IEEE Computer, vol. 35, pp. 70-78, January, 2002.
-
(2002)
IEEE Computer
, vol.35
, pp. 70-78
-
-
Benini, L.1
Micheli, G.D.2
-
2
-
-
0034848112
-
Route packets, not wires
-
June 18-22
-
W. J. Dally and B. Towles, "Route packets, not wires," in Proceedings of the Design Automation Conference (DAC), Las Vegas, NV, USA, pp. 684-689, June 18-22, 2001.
-
(2001)
Proceedings of the Design Automation Conference (DAC), Las Vegas, NV, USA
, pp. 684-689
-
-
Dally, W.J.1
Towles, B.2
-
3
-
-
33646922057
-
The future of wires
-
April
-
R. Ho, K. W. Mai, and M. A. Horowitz, "The future of wires," Proceedings of the IEEE, vol. 89, pp. 490-504, April, 2001.
-
(2001)
Proceedings of the IEEE
, vol.89
, pp. 490-504
-
-
Ho, R.1
Mai, K.W.2
Horowitz, M.A.3
-
4
-
-
34547471544
-
Design tradeoffs for tiled CMP on-chip networks
-
June 28-30
-
J. Balfour and W. J. Dally, "Design tradeoffs for tiled CMP on-chip networks," in Proceedings of the 20th ACM International Conference on Supercomputing (ICS), Cairns, Australia, pp. 187-198, June 28-30, 2006.
-
(2006)
Proceedings of the 20th ACM International Conference on Supercomputing (ICS), Cairns, Australia
, pp. 187-198
-
-
Balfour, J.1
Dally, W.J.2
-
5
-
-
16244389647
-
Application-specific buffer space allocation for network-on-chip router design
-
November 7-11
-
J. Hu and R. Marculescu, "Application-specific buffer space allocation for network-on-chip router design," in Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Jose, CA, USA, pp. 354-361, November 7-11, 2004.
-
(2004)
Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Jose, CA, USA
, pp. 354-361
-
-
Hu, J.1
Marculescu, R.2
-
6
-
-
40349107206
-
ViChaR: A dynamic virtual channel regulator for network-on-chip routers
-
December 9-13
-
C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, "ViChaR: A dynamic virtual channel regulator for network-on-chip routers," in Proceedings of the 39th Annual International Symposium on Microarchitecture (MICRO), Orlando, FL, USA, pp. 333-344, December 9-13, 2006.
-
(2006)
Proceedings of the 39th Annual International Symposium on Microarchitecture (MICRO), Orlando, FL, USA
, pp. 333-344
-
-
Nicopoulos, C.A.1
Park, D.2
Kim, J.3
Vijaykrishnan, N.4
Yousif, M.S.5
Das, C.R.6
-
7
-
-
84862144932
-
Power-driven design of router microarchitectures in on-chip networks
-
December 3-5
-
H. S. Wang, L. S. Peh, and S. Malik, "Power-driven design of router microarchitectures in on-chip networks," in Proceedings of the 36th Annual ACM/IEEE International Symposium on Microarchitecture (MICRO), Washington DC, USA, pp. 105-116, December 3-5, 2003.
-
(2003)
Proceedings of the 36th Annual ACM/IEEE International Symposium on Microarchitecture (MICRO), Washington DC, USA
, pp. 105-116
-
-
Wang, H.S.1
Peh, L.S.2
Malik, S.3
-
8
-
-
28444486983
-
Replacing global wires with an on-chip network: A power analysis
-
August 8-10
-
S. Heo and K. Asanovic, "Replacing global wires with an on-chip network: A power analysis," in Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), San Diego, CA, USA, pp. 369-374, August 8-10 2005.
-
(2005)
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), San Diego, CA, USA
, pp. 369-374
-
-
Heo, S.1
Asanovic, K.2
-
10
-
-
33845899086
-
A gracefully degrading and energy-efficient modular router architecture for on-chip networks
-
June 17-21
-
J. Kim, C. A. Nicopoulos, D. Park, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, "A gracefully degrading and energy-efficient modular router architecture for on-chip networks," in Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA), Boston, MA, USA, pp. 4-15, June 17-21, 2006.
-
(2006)
Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA), Boston, MA, USA
, pp. 4-15
-
-
Kim, J.1
Nicopoulos, C.A.2
Park, D.3
Vijaykrishnan, N.4
Yousif, M.S.5
Das, C.R.6
-
11
-
-
4644301652
-
Low-latency virtual channel routers for on-chip networks
-
June 19-23
-
R. Mullins, A. West, and S. Moore, "Low-latency virtual channel routers for on-chip networks," in Proceedings of International Symposium on Computer Architecture (ISCA), Munchen, Germany, pp. 188-197, June 19-23, 2004.
-
(2004)
Proceedings of International Symposium on Computer Architecture (ISCA), Munchen, Germany
, pp. 188-197
-
-
Mullins, R.1
West, A.2
Moore, S.3
-
12
-
-
0034818435
-
A delay model and speculative architecture for pipelined routers
-
January
-
L. S. Peh and W.J. Dally, "A delay model and speculative architecture for pipelined routers," in Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA), Nuevo Leone, Mexico, pp. 255-266, January, 2001.
-
(2001)
Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA), Nuevo Leone, Mexico
, pp. 255-266
-
-
Peh, L.S.1
Dally, W.J.2
-
14
-
-
0036866915
-
A power-optimal repeater insertion methodology for global interconnects in nanometer designs
-
November
-
K. Banerjee and A. Mehrotra, "A power-optimal repeater insertion methodology for global interconnects in nanometer designs," IEEE Transactions on Electron Devices, vol. 49, no. 11, pp. 2001-2007, November, 2002.
-
(2002)
IEEE Transactions on Electron Devices
, vol.49
, Issue.11
, pp. 2001-2007
-
-
Banerjee, K.1
Mehrotra, A.2
-
15
-
-
0035058593
-
Elastic interconnects: Repeater-inserted long wiring capable of compressing and decompressing data
-
February 5-7
-
M. Mizuno, W. J. Dally, and H. Onishi, "Elastic interconnects: Repeater-inserted long wiring capable of compressing and decompressing data," in Proceedings of the IEEE International Solid-State Circuits Conference, San Fransisco, CA, USA, pp. 346-347, February 5-7, 2001.
-
(2001)
Proceedings of the IEEE International Solid-State Circuits Conference, San Fransisco, CA, USA
, pp. 346-347
-
-
Mizuno, M.1
Dally, W.J.2
Onishi, H.3
-
16
-
-
0032298459
-
Circular buffered switch design with wormhole routing and virtual channels
-
October
-
N. Ni, M. Pirvu, and L. Bhuyan, "Circular buffered switch design with wormhole routing and virtual channels," in Proceedings of the International Conference on Computer Design (ICCD), Austin, TX, USA, pp. 466-473, October, 1998.
-
(1998)
Proceedings of the International Conference on Computer Design (ICCD), Austin, TX, USA
, pp. 466-473
-
-
Ni, N.1
Pirvu, M.2
Bhuyan, L.3
-
17
-
-
0023704955
-
High-performance multiqueue buffers for VLSI communication switches
-
May-June
-
Y. Tamir and G. L. Frazier, "High-performance multiqueue buffers for VLSI communication switches," in Proceedings of the 15th Annual International Symposium on Computer Architecture (ISCA), Honolulu, Hawaii, USA, pp. 343-354, May-June, 1988.
-
(1988)
Proceedings of the 15th Annual International Symposium on Computer Architecture (ISCA), Honolulu, Hawaii, USA
, pp. 343-354
-
-
Tamir, Y.1
Frazier, G.L.2
-
18
-
-
84948976085
-
Orion: A power-performance simulator for interconnection networks
-
November 18-22
-
H. S. Wang, X. Zhu, L. S. Peh, and S. Malik, "Orion: A power-performance simulator for interconnection networks," in Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture (MICRO), Istanbul, Turkey, pp. 294-305, November 18-22, 2002.
-
(2002)
Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture (MICRO), Istanbul, Turkey
, pp. 294-305
-
-
Wang, H.S.1
Zhu, X.2
Peh, L.S.3
Malik, S.4
-
19
-
-
1542269364
-
Leakage power modeling and optimization in interconnection networks
-
August 25-27
-
X. Chen and L. S. Peh, "Leakage power modeling and optimization in interconnection networks," in Proceedings of the 2003 International Symposium on Low Power Electronics and Design, Seoul, Korea, pp. 90-95, August 25-27, 2003.
-
(2003)
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, Seoul, Korea
, pp. 90-95
-
-
Chen, X.1
Peh, L.S.2
-
20
-
-
17644414552
-
Cache array architecture optimization at deep submicron technologies
-
October 11-13
-
A. Y. Zeng, K. Rose, and R. J. Gutmann, "Cache array architecture optimization at deep submicron technologies," in Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers and Processors, San Jose, CA, USA, pp. 320-325, October 11-13, 2004.
-
(2004)
Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers and Processors, San Jose, CA, USA
, pp. 320-325
-
-
Zeng, A.Y.1
Rose, K.2
Gutmann, R.J.3
|