메뉴 건너뛰기




Volumn , Issue , 2008, Pages

Diagnosis of design-silicon timing mismatch with feature encoding and importance ranking - The methodology explained

Author keywords

[No Author keywords available]

Indexed keywords

ASIC DESIGN; KERNEL FUNCTION; PATH-BASED; TIMING MISMATCH;

EID: 67249137738     PISSN: 10893539     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1109/TEST.2008.4700588     Document Type: Conference Paper
Times cited : (13)

References (38)
  • 1
    • 67249147010 scopus 로고    scopus 로고
    • Analysis of causation of speed failures in a microprocessor: A case study
    • To appear in, Special Issue on Silicon Debug and Diagnosis 2008
    • K. Killpack, et al," "Analysis of Causation of Speed Failures in a Microprocessor: A Case Study," To appear in IEEE Design & Test Special Issue on Silicon Debug and Diagnosis 2008.
    • IEEE Design & Test
    • Killpack, K.1
  • 2
    • 85088756542 scopus 로고    scopus 로고
    • Architecting ASIC libraries and flows in the nanometer era
    • C. Bittlestone, A. Hill, V. Singhal, Arvind N.V, "Architecting ASIC Libraries and Flows in the Nanometer Era," In DAC 2003.
    • (2003) DAC
    • Bittlestone, C.1    Hill, A.2    Singhal, V.3    Arvind, N.V.4
  • 3
    • 34547355933 scopus 로고    scopus 로고
    • Design-silicon timing correlation - a data mining perspective
    • Li-C. Wang, Pouria Bastani, Magdy S. Abadir, "Design-silicon timing correlation - a data mining perspective," In DAC 2007.
    • (2007) DAC
    • Wang, L.-C.1    Bastani, P.2    Abadir, M.S.3
  • 5
    • 50649119928 scopus 로고    scopus 로고
    • An improved feature ranking method for diagnosis of systematic timing uncertainty
    • P. Bastani, et al, "An Improved Feature Ranking Method for Diagnosis of Systematic Timing Uncertainty," VLSI-DAT 2008.
    • (2008) VLSI-DAT
    • Bastani, P.1
  • 6
    • 67249164128 scopus 로고    scopus 로고
    • Statistical diagnosis of unmodeled timing effect
    • Submitted to
    • P. Bastani, N. Callegari, L. Wang, M. Abadir, "Statistical Diagnosis of Unmodeled Timing Effect," Submitted to DAC 2008.
    • (2008) DAC
    • Bastani, P.1    Callegari, N.2    Wang, L.3    Abadir, M.4
  • 7
    • 84990633161 scopus 로고
    • Fault diagnosis based on effect-cause analysis: An introduction
    • M. Abramovici, M. Breuer. "Fault Diagnosis Based on Effect-Cause Analysis: An Introduction," In DAC 1980, pp. 69-76.
    • (1980) DAC , pp. 69-76
    • Abramovici, M.1    Breuer, M.2
  • 8
    • 0032315773 scopus 로고    scopus 로고
    • A new path-oriented effect-cause methodology to diagnose delay failures
    • Y.-C. Hsu and S.K. Gupta. "A New Path-Oriented Effect-Cause Methodology to Diagnose Delay Failures," ITC, 1998, pp. 758-767.
    • (1998) ITC , pp. 758-767
    • Hsu, Y.-C.1    Gupta, S.K.2
  • 9
    • 0142216003 scopus 로고    scopus 로고
    • An efficient and effective methodology on the multiple fault diagnosis
    • Z.Wang, et al, "An Efficient and Effective Methodology on the Multiple Fault Diagnosis," In ITC 2003, pp. 329-338.
    • (2003) ITC , pp. 329-338
    • Wang, Z.1
  • 10
    • 84893805472 scopus 로고    scopus 로고
    • Delay defect diagnosis based upon statistical timing models - The first step
    • A. Kristic, et al, "Delay Defect Diagnosis Based Upon Statistical Timing Models - The First Step," In DATE 2003.
    • (2003) DATE
    • Kristic, A.1
  • 11
    • 16244389977 scopus 로고    scopus 로고
    • Process and environmental variation impacts on ASIC timing
    • P. Zuchowski, P. Habitz, J. Hayes, J. Oppold, "Process and Environmental Variation Impacts on ASIC Timing," In ICCAD 2004.
    • (2004) ICCAD
    • Zuchowski, P.1    Habitz, P.2    Hayes, J.3    Oppold, J.4
  • 14
    • 0035687174 scopus 로고    scopus 로고
    • Debug methodology for the mcKinley processor
    • D. Josephson, et al, "Debug Methodology for the McKinley Processor," In ITC 2001. pp 451-460.
    • (2001) ITC , pp. 451-460
    • Josephson, D.1
  • 15
    • 0036443089 scopus 로고    scopus 로고
    • The manic depression of microprocessor debug
    • D. Josephson, "The Manic Depression of Microprocessor Debug," In ITC 2002.
    • (2002) ITC
    • Josephson, D.1
  • 16
    • 67249084393 scopus 로고    scopus 로고
    • The attack of the 'Holey Shmoos': A case study of advanced DFD and picosecond imaging analysis
    • W. Huott, et al, "The Attack of the 'Holey Shmoos': A Case Study of Advanced DFD and Picosecond Imaging Analysis,"In ITC 1999.
    • (1999) ITC
    • Huott, W.1
  • 17
    • 67249152774 scopus 로고    scopus 로고
    • Silicon symptoms to solutions: Applying designfor-debug techniques
    • C. Pryon, et al, "Silicon Symptoms to Solutions: Applying Designfor- Debug Techniques," In ITC 2002.
    • (2002) ITC
    • Pryon, C.1
  • 18
    • 0029289274 scopus 로고
    • Design methodologies for the PA 71000LC microprocessor
    • April
    • M. Bass, et al, "Design Methodologies for the PA 71000LC Microprocessor," In Hewlett-Packard Journal, 46(2):23-25 April 1995.
    • (1995) Hewlett-Packard Journal , vol.46 , Issue.2 , pp. 23-25
    • Bass, M.1
  • 19
    • 34547172864 scopus 로고    scopus 로고
    • The good, the bad, and the ugly of silicon debug
    • D. Josephson, "The Good, the Bad, and the Ugly of Silicon Debug," In DAC 2006.
    • (2006) DAC
    • Josephson, D.1
  • 20
    • 84856202332 scopus 로고    scopus 로고
    • Have i really met timing? - Validating primeTime timing reports with spice
    • T. Thiel, "Have I Really Met Timing? - Validating PrimeTime Timing Reports with Spice," In DATE 2004.
    • (2004) DATE
    • Thiel, T.1
  • 21
    • 34548304804 scopus 로고    scopus 로고
    • Diagnosis, modeling and tolerance of scan chain hold-time violations
    • O. Sinanoglu, P. Schremmer, "Diagnosis, Modeling and Tolerance of Scan Chain Hold-Time Violations," In DATE 2007.
    • (2007) DATE
    • Sinanoglu, O.1    Schremmer, P.2
  • 22
    • 27944489649 scopus 로고    scopus 로고
    • BEOL variability and impact on RC extraction
    • N. NS, T. Bonifield, et al, "BEOL Variability and Impact on RC Extraction," In DAC 2005.
    • (2005) DAC
    • Ns, N.1    Bonifield, T.2
  • 23
    • 33748563663 scopus 로고    scopus 로고
    • SmartExtract: Accurate capacitance extraction for SOC designs
    • U. Narasimha, A. Hill, N. NS, "SmartExtract: Accurate Capacitance Extraction for SOC Designs," In IEEE VLSI Design 2006.
    • (2006) IEEE VLSI Design
    • Narasimha, U.1    Hill, A.2    Ns, N.3
  • 25
    • 34547323629 scopus 로고    scopus 로고
    • Power grid physics and implications for CAD
    • Sanjay Pant, Eli Chiprout, "Power Grid Physics and Implications for CAD," In Proc. DAC 2006.
    • (2006) Proc. DAC
    • Pant, S.1    Chiprout, E.2
  • 26
    • 67249088559 scopus 로고    scopus 로고
    • Speedpath prediction based on learning from small set of exmaples
    • Submitted to
    • P. Bastani, K. Killpack, L.Wang, E. Chiprout, "Speedpath prediction based on learning from small set of exmaples," Submitted to DAC'08.
    • DAC'08
    • Bastani, P.1    Killpack, K.2    Wang, L.3    Chiprout, E.4
  • 31
    • 41549118981 scopus 로고    scopus 로고
    • A statistical framework for post-silicon tuning through body bias clustering
    • S. Kulkarni, D. Sylvester, D. Blaauw, "A Statistical Framework for Post-Silicon Tuning through Body Bias Clustering," In ICCAD 2006.
    • (2006) ICCAD
    • Kulkarni, S.1    Sylvester, D.2    Blaauw, D.3
  • 32
    • 46149105937 scopus 로고    scopus 로고
    • Dynamic voltage and frequency management based on variable update intervals for freq. setting
    • M. Najibi, et al, "Dynamic Voltage and Frequency Management Based on Variable Update Intervals for Freq. Setting," ICCAD 2006.
    • (2006) ICCAD
    • Najibi, M.1
  • 33
    • 33748572934 scopus 로고    scopus 로고
    • Asynchronous IC interconnect network design and implementation using a standard ASIC flow
    • B. Quinton, et al, "Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow," ICCAD 2005.
    • (2005) ICCAD
    • Quinton, B.1
  • 34
    • 0033343250 scopus 로고    scopus 로고
    • Design for debug for silicon microsurgery and probing of flip-chip packaged integrated circuits
    • R. Livengood, "Design for Debug for Silicon Microsurgery and Probing of Flip-Chip Packaged Integrated Circuits," In ITC 1999.
    • (1999) ITC
    • Livengood, R.1
  • 37
    • 48249116687 scopus 로고    scopus 로고
    • Automating post-silicon debugging and repair
    • K. Chang, I. Markov, V. Bertacco, "Automating Post-Silicon Debugging and Repair," ICCAD 2007.
    • (2007) ICCAD
    • Chang, K.1    Markov, I.2    Bertacco, V.3
  • 38
    • 46149101240 scopus 로고    scopus 로고
    • Temperature-aware leakage minimization technique for real-time systesms
    • L. Yuan, S. Leventhal, G. Qu, "Temperature-Aware Leakage Minimization Technique for Real-Time Systesms," ICCAD 2006.
    • (2006) ICCAD
    • Yuan, L.1    Leventhal, S.2    Qu, G.3


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.