-
1
-
-
40349114890
-
-
J. C. Smolens, B. T. Gold, B. Falsafi, and J. C. Hoe, Reunion: Complexity-Effective Multicore Redundancy, presented at 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39, Dec 2006, pp. 223 - 234.
-
J. C. Smolens, B. T. Gold, B. Falsafi, and J. C. Hoe, "Reunion: Complexity-Effective Multicore Redundancy," presented at 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39, Dec 2006, pp. 223 - 234.
-
-
-
-
2
-
-
34547149750
-
Prototyping a fault-tolerant multiprocessor SoC with run-time fault recovery
-
presented at, 24-28 July
-
Z. Xinping and Q. Wei, "Prototyping a fault-tolerant multiprocessor SoC with run-time fault recovery," presented at 43rd ACM/IEEE Design Automation Conference , 24-28 July, 2006, pp. 53 - 56.
-
(2006)
43rd ACM/IEEE Design Automation Conference
, pp. 53-56
-
-
Xinping, Z.1
Wei, Q.2
-
3
-
-
50249124689
-
Analysis and design of soft-error tolerant circuits,
-
Ph.D. Thesis, University of Illinois at UrbanaChampaign, United States, Illinois
-
M. Zhang, "Analysis and design of soft-error tolerant circuits," Ph.D. Thesis, University of Illinois at UrbanaChampaign, United States - Illinois, 2006.
-
(2006)
-
-
Zhang, M.1
-
4
-
-
33846595665
-
Sequential Element Design With Built-in Soft Error Resilience
-
M. Zhang, S. Mitra, T. M. Mak, N. Seifert, N. J. Wang, Q. Shi, K. S. Kim, N. R. Shanbhag, and S. J. Patel, "Sequential Element Design With Built-in Soft Error Resilience," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 14, no. 12, 2006, pp. 1368-1378.
-
(2006)
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on
, vol.14
, Issue.12
, pp. 1368-1378
-
-
Zhang, M.1
Mitra, S.2
Mak, T.M.3
Seifert, N.4
Wang, N.J.5
Shi, Q.6
Kim, K.S.7
Shanbhag, N.R.8
Patel, S.J.9
-
5
-
-
46249126050
-
Soft Error Resilient System Design through Error Correction
-
VLSI-SoC
-
M. Z. S. Mitra, N. Seifert, TM Mak and K. Kim. Soft and IFIP, "Soft Error Resilient System Design through Error Correction," VLSI-SoC, 2006.
-
(2006)
-
-
Mitra, M.Z.S.1
Seifert, N.2
Mak, T.M.3
Kim, K.4
-
6
-
-
28444483117
-
The soft error problem: An architectural perspective
-
presented at, San Francisco, CA, USA, 12-16 Feb
-
S. S. Mukherjee, J. Emer, and S. K. Reinhardt, "The soft error problem: an architectural perspective," presented at 11th International Symposium on High-Performance Computer Architecture, San Francisco, CA, USA, 12-16 Feb., 2005, pp. 243 - 247.
-
(2005)
11th International Symposium on High-Performance Computer Architecture
, pp. 243-247
-
-
Mukherjee, S.S.1
Emer, J.2
Reinhardt, S.K.3
-
7
-
-
33745485468
-
On transistor level gate sizing for increased robustness to transient faults
-
presented at, 6-8 July
-
J. M. Cazeaux, D. Rossi, M. Omana, C. Metra, and A. Chatterjee, "On transistor level gate sizing for increased robustness to transient faults," presented at 11th IEEE International On-Line Testing Symposium, 6-8 July, 2005, pp. 23-28.
-
(2005)
11th IEEE International On-Line Testing Symposium
, pp. 23-28
-
-
Cazeaux, J.M.1
Rossi, D.2
Omana, M.3
Metra, C.4
Chatterjee, A.5
-
8
-
-
30844443384
-
Reliability-centric high-level synthesis
-
presented at
-
S. Tosun, N. Mansouri, E. Arvas, M. Kandemir, and X. Yuan, "Reliability-centric high-level synthesis," presented at Proceedings Design, Automation and Test in Europe, 2005, pp. 1258-1263.
-
(2005)
Proceedings Design, Automation and Test in Europe
, pp. 1258-1263
-
-
Tosun, S.1
Mansouri, N.2
Arvas, E.3
Kandemir, M.4
Yuan, X.5
-
9
-
-
33745494671
-
Mitigating soft errors to prevent a hard threat to dependable computing
-
presented at
-
Y. Crouzet, J. Collet, and J. Arlat, "Mitigating soft errors to prevent a hard threat to dependable computing," presented at 11th IEEE International On-Line Testing Symposium, IOLTS, 2005, pp. 295-298.
-
(2005)
11th IEEE International On-Line Testing Symposium, IOLTS
, pp. 295-298
-
-
Crouzet, Y.1
Collet, J.2
Arlat, J.3
-
10
-
-
50249100479
-
Efficient techniques for modeling and mitigation of soft errors in nanometer-scale static CMOS logic circuits,
-
Ph.D. Thesis, Michigan State University, United States, Michigan
-
S. Krishnamohan, "Efficient techniques for modeling and mitigation of soft errors in nanometer-scale static CMOS logic circuits," Ph.D. Thesis, Michigan State University, United States - Michigan, 2005.
-
(2005)
-
-
Krishnamohan, S.1
-
11
-
-
34147151677
-
Power-efficient error tolerance in chip multiprocessors
-
M. W. Rashid, E. J. Tan, M. C. Huang, and D. H. Albonesi, "Power-efficient error tolerance in chip multiprocessors," Micro, IEEE, vol. 25, no. 6, 2005, pp. 60-70.
-
(2005)
Micro, IEEE
, vol.25
, Issue.6
, pp. 60-70
-
-
Rashid, M.W.1
Tan, E.J.2
Huang, M.C.3
Albonesi, D.H.4
-
12
-
-
34147197380
-
An experimental study of soft errors in microprocessors
-
G. P. Saggese, N. J. Wang, Z. T. Kalbarczyk, S. J. Patel, and R. K. Iyer, "An experimental study of soft errors in microprocessors," Micro, IEEE, vol. 25, no. 6, 2005, pp. 30-39.
-
(2005)
Micro, IEEE
, vol.25
, Issue.6
, pp. 30-39
-
-
Saggese, G.P.1
Wang, N.J.2
Kalbarczyk, Z.T.3
Patel, S.J.4
Iyer, R.K.5
-
13
-
-
33846118079
-
Designing reliable systems from unreliable components: The challenges of transistor variability and degradation
-
S. Borkar, "Designing reliable systems from unreliable components: the challenges of transistor variability and degradation," Micro, IEEE, vol. 25, no. 6, 2005, pp. 10-16.
-
(2005)
Micro, IEEE
, vol.25
, Issue.6
, pp. 10-16
-
-
Borkar, S.1
-
14
-
-
29344456746
-
IBM z990 soft error detection and recovery
-
P. J. Meaney, S. B. Swaney, P. N. Sanda, and L. Spainhower, "IBM z990 soft error detection and recovery," Device and Materials Reliability, IEEE Transactions on, vol. 5, no. 3, 2005, pp. 419-427.
-
(2005)
Device and Materials Reliability, IEEE Transactions on
, vol.5
, Issue.3
, pp. 419-427
-
-
Meaney, P.J.1
Swaney, S.B.2
Sanda, P.N.3
Spainhower, L.4
-
15
-
-
15044363155
-
Robust system design with built-in soft-error resilience
-
S. Mitra, N. Seifert, M. Zhang, Q. Shi, and K. S. Kim, "Robust system design with built-in soft-error resilience," Computer, vol. 38, no. 2, 2005, pp. 43-52.
-
(2005)
Computer
, vol.38
, Issue.2
, pp. 43-52
-
-
Mitra, S.1
Seifert, N.2
Zhang, M.3
Shi, Q.4
Kim, K.S.5
-
16
-
-
11244324452
-
Reliability-aware co-synthesis for embedded systems
-
presented at
-
Y. Xie, L. Li, M. Kandemir, N. Vijaykrishnan, and M. J. Irwin, "Reliability-aware co-synthesis for embedded systems," presented at 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors, 2004, pp. 41 - 50.
-
(2004)
15th IEEE International Conference on Application-Specific Systems, Architectures and Processors
, pp. 41-50
-
-
Xie, Y.1
Li, L.2
Kandemir, M.3
Vijaykrishnan, N.4
Irwin, M.J.5
-
17
-
-
4644313547
-
The case for lifetime reliability-aware microprocessors
-
presented at, 19-23 June
-
J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers, "The case for lifetime reliability-aware microprocessors," presented at 31st Annual International Symposium on Computer Architecture, 19-23 June, 2004, pp. 276- 287.
-
(2004)
31st Annual International Symposium on Computer Architecture
, pp. 276-287
-
-
Srinivasan, J.1
Adve, S.V.2
Bose, P.3
Rivers, J.A.4
-
18
-
-
33744550323
-
A fault-tolerant real-time multiprocessor with a built-in recovery mechanism
-
N. A. Koso vets and L. N. Kosovets, "A fault-tolerant real-time multiprocessor with a built-in recovery mechanism," Cybernetics and Systems Analysis, vol. 40, no. 5, 2004, pp. 772.
-
(2004)
Cybernetics and Systems Analysis
, vol.40
, Issue.5
, pp. 772
-
-
Koso vets, N.A.1
Kosovets, L.N.2
-
19
-
-
1342325003
-
Transient-fault recovery for chip multiprocessors
-
A. G. Mohamed, S. Chad, T. N. Vijaykumar, and P. Irith, "Transient-fault recovery for chip multiprocessors," IEEE Micro, vol. 23, no. 6, 2003, pp. 76.
-
(2003)
IEEE Micro
, vol.23
, Issue.6
, pp. 76
-
-
Mohamed, A.G.1
Chad, S.2
Vijaykumar, T.N.3
Irith, P.4
-
20
-
-
0036287327
-
Detailed design and evaluation of redundant multi-threading alternatives
-
presented at
-
S. S. Mukherjee, M. Kontz, and S. K. Reinhardt, "Detailed design and evaluation of redundant multi-threading alternatives," presented at 29th Annual International Symposium on Computer Architecture, 2002, pp. 99-110.
-
(2002)
29th Annual International Symposium on Computer Architecture
, pp. 99-110
-
-
Mukherjee, S.S.1
Kontz, M.2
Reinhardt, S.K.3
-
21
-
-
0036290674
-
Transient-fault recovery using simultaneous multithreading
-
presented at
-
T. N. Vijaykumar, I. Pomeranz, and K. Cheng, "Transient-fault recovery using simultaneous multithreading," presented at 29th Annual International Symposium on Computer Architecture, 2002, pp. 87-98.
-
(2002)
29th Annual International Symposium on Computer Architecture
, pp. 87-98
-
-
Vijaykumar, T.N.1
Pomeranz, I.2
Cheng, K.3
-
22
-
-
0035691556
-
Dual use of superscalar datapath for transient-fault detection and recovery
-
presented at
-
J. Ray, J. C. Hoe, and B. Falsafi, "Dual use of superscalar datapath for transient-fault detection and recovery," presented at 34th ACM/IEEE International Symposium on Microarchitecture, 2001, pp. 214 - 224.
-
(2001)
34th ACM/IEEE International Symposium on Microarchitecture
, pp. 214-224
-
-
Ray, J.1
Hoe, J.C.2
Falsafi, B.3
-
24
-
-
0033321638
-
DIVA: A reliable substrate for deep submicron microarchitecture design
-
presented at
-
T. M. Austin, "DIVA: a reliable substrate for deep submicron microarchitecture design," presented at 32nd Annual International Symposium on Microarchitecture, 1999, pp. 196-207.
-
(1999)
32nd Annual International Symposium on Microarchitecture
, pp. 196-207
-
-
Austin, T.M.1
|