-
1
-
-
84873622276
-
The tail at scale
-
Feb.
-
J. Dean and L. A. Barroso, "The Tail at Scale," Commun. ACM, vol. 56, pp. 74-80, Feb. 2013.
-
(2013)
Commun. ACM
, vol.56
, pp. 74-80
-
-
Dean, J.1
Barroso, L.A.2
-
2
-
-
0037619265
-
Web Search for a Planet: The Google Cluster Architecture
-
Mar.
-
L. A. Barroso, J. Dean, and U. Hölzle, "Web Search for a Planet: The Google Cluster Architecture," IEEE Micro, vol. 23, pp. 22-28, Mar. 2003.
-
(2003)
IEEE Micro
, vol.23
, pp. 22-28
-
-
Barroso, L.A.1
Dean, J.2
Hölzle, U.3
-
3
-
-
84964449284
-
Pitfalls of accurately benchmarking thermally adaptive chips
-
L. Emurian, A. Raghavan, L. Shao, J. M. Rosen, M. Papaefthymiou, K. Pipe, T. F. Wenisch, and M. Martin, "Pitfalls of Accurately Benchmarking Thermally Adaptive Chips," Power (W), vol. 5, p. 10.
-
Power (W)
, vol.5
, pp. 10
-
-
Emurian, L.1
Raghavan, A.2
Shao, L.3
Rosen, J.M.4
Papaefthymiou, M.5
Pipe, K.6
Wenisch, T.F.7
Martin, M.8
-
4
-
-
84905445838
-
Towards energy proportionality for large-scale latency-critical workloads
-
IEEE Press
-
D. Lo, L. Cheng, R. Govindaraju, L. A. Barroso, and C. Kozyrakis, "Towards energy proportionality for large-scale latency-critical workloads," in Proceeding of the 41st annual international symposium on Computer architecuture, pp. 301-312, IEEE Press, 2014.
-
(2014)
Proceeding of the 41st Annual International Symposium on Computer Architecuture
, pp. 301-312
-
-
Lo, D.1
Cheng, L.2
Govindaraju, R.3
Barroso, L.A.4
Kozyrakis, C.5
-
5
-
-
84881144734
-
Thin servers with smart pipes: Designing soc accelerators for memcached
-
New York, NY, USA, ACM
-
K. Lim, D. Meisner, A. G. Saidi, P. Ranganathan, and T. F. Wenisch, "Thin Servers with Smart Pipes: Designing SoC Accelerators for Memcached," in Proceedings of the 40th Annual International Symposium on Computer Architecture, ISCA '13, (New York, NY, USA), pp. 36-47, ACM, 2013.
-
(2013)
Proceedings of the 40th Annual International Symposium on Computer Architecture, ISCA '13
, pp. 36-47
-
-
Lim, K.1
Meisner, D.2
Saidi, A.G.3
Ranganathan, P.4
Wenisch, T.F.5
-
6
-
-
57749178620
-
System level analysis of fast, per-core DVFS using on-chip switching regulators
-
February
-
W. Kim, M. Gupta, et al., "System level analysis of fast, per-core DVFS using on-chip switching regulators," in High Performance Computer Architecture, 2008. HPCA 2008. IEEE 14th International Symposium on, pp. 123-134, February 2008.
-
(2008)
High Performance Computer Architecture, 2008. HPCA 2008. IEEE 14th International Symposium on
, pp. 123-134
-
-
Kim, W.1
Gupta, M.2
-
7
-
-
79955717091
-
A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation
-
feb.
-
W. Kim, D. Brooks, et al., "A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2011 IEEE International, pp. 268-270, feb. 2011.
-
(2011)
Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2011 IEEE International
, pp. 268-270
-
-
Kim, W.1
Brooks, D.2
-
8
-
-
84883823109
-
Shortstop: An on-chip fast supply boosting technique
-
IEEE
-
N. Pinckney, M. Fojtik, B. Giridhar, D. Sylvester, and D. Blaauw, "Shortstop: An on-chip fast supply boosting technique," in VLSI Circuits (VLSIC), 2013 Symposium on, pp. C290-C291, IEEE, 2013.
-
(2013)
VLSI Circuits (VLSIC), 2013 Symposium on
, pp. C290-C291
-
-
Pinckney, N.1
Fojtik, M.2
Giridhar, B.3
Sylvester, D.4
Blaauw, D.5
-
9
-
-
84860352303
-
Booster: Reactive core acceleration for mitigating the effects of process variation and application imbalance in low-voltage chips
-
IEEE
-
T. N. Miller, X. Pan, R. Thomas, N. Sedaghati, and R. Teodorescu, "Booster: Reactive core acceleration for mitigating the effects of process variation and application imbalance in low-voltage chips," in High Performance Computer Architecture (HPCA), 2012 IEEE 18th International Symposium on, pp. 1-12, IEEE, 2012.
-
(2012)
High Performance Computer Architecture (HPCA), 2012 IEEE 18th International Symposium on
, pp. 1-12
-
-
Miller, T.N.1
Pan, X.2
Thomas, R.3
Sedaghati, N.4
Teodorescu, R.5
-
10
-
-
85035765524
-
Enabling realistic fine-grain voltage scaling with reconfigurable power distribution networks
-
New York, NY, USA), ACM
-
W. Godycki, C. Torng, I. Bukreyev, A. Apsel, and C. Batten, "Enabling Realistic Fine-Grain Voltage Scaling with Reconfigurable Power Distribution Networks," in Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), MICRO-47, (New York, NY, USA), ACM, 2014.
-
(2014)
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), MICRO-47
-
-
Godycki, W.1
Torng, C.2
Bukreyev, I.3
Apsel, A.4
Batten, C.5
-
11
-
-
84858791438
-
Clearing the clouds: A study of emerging scale-out workloads on modern hardware
-
New York, NY, USA, ACM
-
M. Ferdman, A. Adileh, O. Kocberber, S. Volos, M. Alisafaee, D. Jevdjic, C. Kaynak, A. D. Popescu, A. Ailamaki, and B. Falsafi, "Clearing the Clouds: A Study of Emerging Scale-out Workloads on Modern Hardware," in Proceedings of the Seventeenth International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS XVII, (New York, NY, USA), pp. 37-48, ACM, 2012.
-
(2012)
Proceedings of the Seventeenth International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS XVII
, pp. 37-48
-
-
Ferdman, M.1
Adileh, A.2
Kocberber, O.3
Volos, S.4
Alisafaee, M.5
Jevdjic, D.6
Kaynak, C.7
Popescu, A.D.8
Ailamaki, A.9
Falsafi, B.10
-
13
-
-
77952388227
-
Scaling deep trench based eDRAM on SOI to 32nm and beyond
-
dec.
-
G. Wang, D. Anand, et al., "Scaling deep trench based eDRAM on SOI to 32nm and Beyond," in Electron Devices Meeting (IEDM), 2009 IEEE International, pp. 1-4, dec. 2009.
-
(2009)
Electron Devices Meeting (IEDM), 2009 IEEE International
, pp. 1-4
-
-
Wang, G.1
Anand, D.2
-
14
-
-
84858769357
-
It's time for low latency
-
A. Gordon, N. Amit, N. Har'El, M. Ben-Yehuda, A. Landau, A. Schuster, and D. Tsafrir, "It's Time for Low Latency," in ACM SIGARCH Computer Architecture News, vol. 40, pp. 411-422, 2012.
-
(2012)
ACM SIGARCH Computer Architecture News
, vol.40
, pp. 411-422
-
-
Gordon, A.1
Amit, N.2
Har'el, N.3
Ben-Yehuda, M.4
Landau, A.5
Schuster, A.6
Tsafrir, D.7
-
15
-
-
84862110292
-
Bighouse: A simulation infrastructure for data center systems
-
Washington, DC, USA, IEEE Computer Society
-
D. Meisner, J. Wu, and T. F. Wenisch, "BigHouse: A Simulation Infrastructure for Data Center Systems," in Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, ISPASS '12, (Washington, DC, USA), pp. 35-45, IEEE Computer Society, 2012.
-
(2012)
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, ISPASS '12
, pp. 35-45
-
-
Meisner, D.1
Wu, J.2
Wenisch, T.F.3
-
16
-
-
84864688266
-
Workload analysis of a large-scale key-value store
-
New York, NY, USA, ACM
-
B. Atikoglu, Y. Xu, E. Frachtenberg, S. Jiang, and M. Paleczny, "Workload Analysis of a Large-scale Key-value Store," in Proceedings of the 12th ACM SIGMETRICS/PERFORMANCE Joint International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS '12, (New York, NY, USA), pp. 53-64, ACM, 2012.
-
(2012)
Proceedings of the 12th ACM SIGMETRICS/PERFORMANCE Joint International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS '12
, pp. 53-64
-
-
Atikoglu, B.1
Xu, Y.2
Frachtenberg, E.3
Jiang, S.4
Paleczny, M.5
-
17
-
-
80052548963
-
Power management of online data-intensive services
-
New York, NY, USA, ACM
-
D. Meisner, C. M. Sadler, L. A. Barroso, W.-D. Weber, and T. F. Wenisch, "Power Management of Online Data-intensive Services," in Proceedings of the 38th Annual International Symposium on Computer Architecture, ISCA '11, (New York, NY, USA), pp. 319-330, ACM, 2011.
-
(2011)
Proceedings of the 38th Annual International Symposium on Computer Architecture, ISCA '11
, pp. 319-330
-
-
Meisner, D.1
Sadler, C.M.2
Barroso, L.A.3
Weber, W.-D.4
Wenisch, T.F.5
-
18
-
-
67650783130
-
PowerNap: Eliminating server idle power
-
D. Meisner, B. T. Gold, and T. F. Wenisch, "PowerNap: eliminating server idle power," ACM SIGARCH Computer Architecture News, vol. 37, no. 1, pp. 205-216, 2009.
-
(2009)
ACM SIGARCH Computer Architecture News
, vol.37
, Issue.1
, pp. 205-216
-
-
Meisner, D.1
Gold, B.T.2
Wenisch, T.F.3
-
19
-
-
85074591232
-
Scaling memcache at facebook
-
Berkeley, CA, USA, USENIX Association
-
R. Nishtala, H. Fugal, S. Grimm, M. Kwiatkowski, H. Lee, H. C. Li, R. McElroy, M. Paleczny, D. Peek, P. Saab, D. Stafford, T. Tung, and V. Venkataramani, "Scaling Memcache at Facebook," in Proceedings of the 10th USENIX Conference on Networked Systems Design and Implementation, nsdi'13, (Berkeley, CA, USA), pp. 385-398, USENIX Association, 2013.
-
(2013)
Proceedings of the 10th USENIX Conference on Networked Systems Design and Implementation, nsdi'13
, pp. 385-398
-
-
Nishtala, R.1
Fugal, H.2
Grimm, S.3
Kwiatkowski, M.4
Lee, H.5
Li, H.C.6
McElroy, R.7
Paleczny, M.8
Peek, D.9
Saab, P.10
Stafford, D.11
Tung, T.12
Venkataramani, V.13
-
20
-
-
11844285622
-
Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times
-
K. Choi, R. Soma, and M. Pedram, "Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 24, no. 1, pp. 18-28, 2005.
-
(2005)
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on
, vol.24
, Issue.1
, pp. 18-28
-
-
Choi, K.1
Soma, R.2
Pedram, M.3
-
21
-
-
33644928947
-
A dynamic compilation framework for controlling microprocessor energy and performance
-
IEEE Computer Society
-
Q. Wu, M. Martonosi, D. W. Clark, V. J. Reddi, D. Connors, Y. Wu, J. Lee, and D. Brooks, "A dynamic compilation framework for controlling microprocessor energy and performance," in Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, pp. 271-282, IEEE Computer Society, 2005.
-
(2005)
Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 271-282
-
-
Wu, Q.1
Martonosi, M.2
Clark, D.W.3
Reddi, V.J.4
Connors, D.5
Wu, Y.6
Lee, J.7
Brooks, D.8
-
22
-
-
36949001469
-
An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget
-
IEEE Computer Society
-
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi, "An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget," in Proceedings of the 39th annual IEEE/ACM international symposium on microarchitecture, pp. 347-358, IEEE Computer Society, 2006.
-
(2006)
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 347-358
-
-
Isci, C.1
Buyuktosunoglu, A.2
Cher, C.-Y.3
Bose, P.4
Martonosi, M.5
-
23
-
-
46649098676
-
Computer architecture techniques for power-efficiency
-
S. Kaxiras and M. Martonosi, "Computer architecture techniques for power-efficiency," Synthesis Lectures on Computer Architecture, vol. 3, no. 1, pp. 1-207, 2008.
-
(2008)
Synthesis Lectures on Computer Architecture
, vol.3
, Issue.1
, pp. 1-207
-
-
Kaxiras, S.1
Martonosi, M.2
-
24
-
-
70350742069
-
Optimizing throughput of power-and thermalconstrained multicore processors using DVFS and per-core powergating
-
IEEE
-
J. Lee and N. S. Kim, "Optimizing throughput of power-and thermalconstrained multicore processors using DVFS and per-core powergating," in Design Automation Conference, 2009. DAC'09. 46th ACM/IEEE, pp. 47-50, IEEE, 2009.
-
(2009)
Design Automation Conference, 2009. DAC'09. 46th ACM/IEEE
, pp. 47-50
-
-
Lee, J.1
Kim, N.S.2
-
25
-
-
79957558684
-
Enabling improved power management in multicore processors through clustered DVFS
-
IEEE
-
T. Kolpe, A. Zhai, and S. S. Sapatnekar, "Enabling improved power management in multicore processors through clustered DVFS," in Design, Automation & Test in Europe Conference & Exhibition (DATE), 2011, pp. 1-6, IEEE, 2011.
-
(2011)
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2011
, pp. 1-6
-
-
Kolpe, T.1
Zhai, A.2
Sapatnekar, S.S.3
-
26
-
-
84903973893
-
Dynamic management of turbomode in modern multi-core chips
-
Orlando, FL, USA, February 15-19
-
D. Lo and C. Kozyrakis, "Dynamic management of TurboMode in modern multi-core chips," in 20th IEEE International Symposium on High Performance Computer Architecture, HPCA 2014, Orlando, FL, USA, February 15-19, 2014, pp. 603-613, 2014.
-
(2014)
20th IEEE International Symposium on High Performance Computer Architecture, HPCA 2014
, pp. 603-613
-
-
Lo, D.1
Kozyrakis, C.2
-
27
-
-
84876526315
-
CoScale: Coordinating cpu and memory system dvfs in server systems
-
Washington, DC, USA, IEEE Computer Society
-
Q. Deng, D. Meisner, A. Bhattacharjee, T. F. Wenisch, and R. Bianchini, "CoScale: Coordinating CPU and Memory System DVFS in Server Systems," in Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-45, (Washington, DC, USA), pp. 143-154, IEEE Computer Society, 2012.
-
(2012)
Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-45
, pp. 143-154
-
-
Deng, Q.1
Meisner, D.2
Bhattacharjee, A.3
Wenisch, T.F.4
Bianchini, R.5
-
28
-
-
79960158244
-
Memory power management via dynamic voltage/frequency scaling
-
New York, NY, USA, ACM
-
H. David, C. Fallin, E. Gorbatov, U. R. Hanebutte, and O. Mutlu, "Memory Power Management via Dynamic Voltage/Frequency Scaling," in Proceedings of the 8th ACM International Conference on Autonomic Computing, ICAC '11, (New York, NY, USA), pp. 31-40, ACM, 2011.
-
(2011)
Proceedings of the 8th ACM International Conference on Autonomic Computing, ICAC '11
, pp. 31-40
-
-
David, H.1
Fallin, C.2
Gorbatov, E.3
Hanebutte, U.R.4
Mutlu, O.5
-
29
-
-
79953071808
-
Memscale: Active low-power modes for main memory
-
New York, NY, USA, ACM
-
Q. Deng, D. Meisner, L. Ramos, T. F. Wenisch, and R. Bianchini, "MemScale: Active Low-power Modes for Main Memory," in Proceedings of the Sixteenth International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS XVI, (New York, NY, USA), pp. 225-238, ACM, 2011.
-
(2011)
Proceedings of the Sixteenth International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS XVI
, pp. 225-238
-
-
Deng, Q.1
Meisner, D.2
Ramos, L.3
Wenisch, T.F.4
Bianchini, R.5
-
30
-
-
84865558350
-
Multiscale: Memory system dvfs with multiple memory controllers
-
New York, NY, USA, ACM
-
Q. Deng, D. Meisner, A. Bhattacharjee, T. F. Wenisch, and R. Bianchini, "MultiScale: Memory System DVFS with Multiple Memory Controllers," in Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design, ISLPED '12, (New York, NY, USA), pp. 297-302, ACM, 2012.
-
(2012)
Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design, ISLPED '12
, pp. 297-302
-
-
Deng, Q.1
Meisner, D.2
Bhattacharjee, A.3
Wenisch, T.F.4
Bianchini, R.5
-
31
-
-
60549116732
-
No power struggles: Coordinated multi-level power management for the data center
-
Mar.
-
R. Raghavendra, P. Ranganathan, V. Talwar, Z. Wang, and X. Zhu, "No "Power" Struggles: Coordinated Multi-level Power Management for the Data Center," SIGARCH Comput. Archit. News, vol. 36, pp. 48-59, Mar. 2008.
-
(2008)
SIGARCH Comput. Archit. News
, vol.36
, pp. 48-59
-
-
Raghavendra, R.1
Ranganathan, P.2
Talwar, V.3
Wang, Z.4
Zhu, X.5
-
32
-
-
75449089335
-
Power management of datacenter workloads using percore power gating
-
J. Leverich, M. Monchiero, V. Talwar, P. Ranganathan, and C. Kozyrakis, "Power management of datacenter workloads using percore power gating," Computer Architecture Letters, vol. 8, no. 2, pp. 48-51, 2009.
-
(2009)
Computer Architecture Letters
, vol.8
, Issue.2
, pp. 48-51
-
-
Leverich, J.1
Monchiero, M.2
Talwar, V.3
Ranganathan, P.4
Kozyrakis, C.5
-
33
-
-
85009393386
-
Protean code: Achieving near-free online code transformations forwarehouse scale computers
-
New York, NY, USA), ACM
-
M. A. Laurenzano, Y. Zhang, L. Tang, and J. Mars, "Protean Code: Achieving Near-Free Online Code Transformations forWarehouse Scale Computers," in Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), MICRO-47, (New York, NY, USA), ACM, 2014.
-
(2014)
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), MICRO-47
-
-
Laurenzano, M.A.1
Zhang, Y.2
Tang, L.3
Mars, J.4
-
34
-
-
84937683341
-
Smite: Precise qos prediction on real-system SMT processors to improve utilization in warehouse scale computers
-
New York, NY, USA), ACM
-
Y. Zhang, M. A. Laurenzano, J. Mars, and L. Tang, "SMiTe: Precise QoS Prediction on Real-System SMT Processors to Improve Utilization in Warehouse Scale Computers," in Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), MICRO-47, (New York, NY, USA), ACM, 2014.
-
(2014)
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), MICRO-47
-
-
Zhang, Y.1
Laurenzano, M.A.2
Mars, J.3
Tang, L.4
-
35
-
-
84881190996
-
Bubble-flux: Precise online qos management for increased utilization in warehouse scale computers
-
New York, NY, USA, ACM. Acceptance Rate: 19
-
H. Yang, A. Breslow, J. Mars, and L. Tang, "Bubble-flux: Precise Online QoS Management for Increased Utilization in Warehouse Scale Computers," in Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA), ISCA '13, (New York, NY, USA), pp. 607-618, ACM, 2013. Acceptance Rate: 19
-
(2013)
Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA), ISCA '13
, pp. 607-618
-
-
Yang, H.1
Breslow, A.2
Mars, J.3
Tang, L.4
-
36
-
-
84875673650
-
ReQoS: Reactive static/dynamic compilation for qos in warehouse scale computers
-
New York, NY, USA, ACM. Acceptance Rate: 23
-
L. Tang, J. Mars, W. Wang, T. Dey, and M. L. Soffa, "ReQoS: Reactive Static/Dynamic Compilation for QoS in Warehouse Scale Computers," in Proceedings of the Eighteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), ASPLOS '13, (New York, NY, USA), pp. 89-100, ACM, 2013. Acceptance Rate: 23
-
(2013)
Proceedings of the Eighteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), ASPLOS '13
, pp. 89-100
-
-
Tang, L.1
Mars, J.2
Wang, W.3
Dey, T.4
Soffa, M.L.5
-
37
-
-
84858783719
-
Bubble-Up: Increasing utilization in modern warehouse scale computers via sensible co-locations
-
New York, NY, USA), ACM. Acceptance Rate: 21
-
J. Mars, L. Tang, R. Hundt, K. Skadron, and M. L. Soffa, "Bubble-Up: Increasing Utilization in Modern Warehouse Scale Computers via Sensible Co-locations," in Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), MICRO-44, (New York, NY, USA), pp. 248-259, ACM, 2011. Acceptance Rate: 21
-
(2011)
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), MICRO-44
, pp. 248-259
-
-
Mars, J.1
Tang, L.2
Hundt, R.3
Skadron, K.4
Soffa, M.L.5
-
38
-
-
84863448918
-
Compiling for niceness: Mitigating contention for qos in warehouse scale computers
-
New York, NY, USA), ACM. Acceptance Rate: 28
-
L. Tang, J. Mars, and M. L. Soffa, "Compiling for Niceness: Mitigating Contention for QoS in Warehouse Scale Computers," in Proceedings of the Tenth International Symposium on Code Generation and Optimization (CGO), CGO '12, (New York, NY, USA), pp. 1-12, ACM, 2012. Acceptance Rate: 28
-
(2012)
Proceedings of the Tenth International Symposium on Code Generation and Optimization (CGO), CGO '12
, pp. 1-12
-
-
Tang, L.1
Mars, J.2
Soffa, M.L.3
-
39
-
-
84881185507
-
Whare-map: Heterogeneity in homogeneous warehouse-scale computers
-
New York, NY, USA, ACM
-
J. Mars and L. Tang, "Whare-map: Heterogeneity in "homogeneous" warehouse-scale computers," in Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA), ISCA '13, (New York, NY, USA), pp. 619-630, ACM, 2013.
-
(2013)
Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA), ISCA '13
, pp. 619-630
-
-
Mars, J.1
Tang, L.2
-
41
-
-
84894585896
-
DeTail: Reducing the flow completion time tail in datacenter networks
-
D. Zats, T. Das, P. Mohan, D. Borthakur, and R. Katz, "DeTail: reducing the flow completion time tail in datacenter networks," ACM SIGCOMM Computer Communication Review, vol. 42, no. 4, pp. 139-150, 2012.
-
(2012)
ACM SIGCOMM Computer Communication Review
, vol.42
, Issue.4
, pp. 139-150
-
-
Zats, D.1
Das, T.2
Mohan, P.3
Borthakur, D.4
Katz, R.5
-
42
-
-
85076877302
-
Decoupling cores, kernels, and operating systems
-
Broomfield, CO, USENIX Association, Oct.
-
G. Zellweger, S. Gerber, K. Kourtis, and T. Roscoe, "Decoupling Cores, Kernels, and Operating Systems," in 11th USENIX Symposium on Operating Systems Design and Implementation (OSDI 14), (Broomfield, CO), pp. 17-31, USENIX Association, Oct. 2014.
-
(2014)
11th USENIX Symposium on Operating Systems Design and Implementation (OSDI 14)
, pp. 17-31
-
-
Zellweger, G.1
Gerber, S.2
Kourtis, K.3
Roscoe, T.4
-
43
-
-
84996437051
-
IX: A protected dataplane operating system for high throughput and low latency
-
Broomfield, CO, USENIX Association, Oct.
-
A. Belay, G. Prekas, A. Klimovic, S. Grossman, C. Kozyrakis, and E. Bugnion, "IX: A Protected Dataplane Operating System for High Throughput and Low Latency," in 11th USENIX Symposium on Operating Systems Design and Implementation (OSDI 14), (Broomfield, CO), pp. 49-65, USENIX Association, Oct. 2014.
-
(2014)
11th USENIX Symposium on Operating Systems Design and Implementation (OSDI 14)
, pp. 49-65
-
-
Belay, A.1
Prekas, G.2
Klimovic, A.3
Grossman, S.4
Kozyrakis, C.5
Bugnion, E.6
|