-
1
-
-
84889913639
-
-
Wattsup .net meter
-
Wattsup .net meter. http://www.wattsupmeters.com/.
-
-
-
-
2
-
-
0348011359
-
Dynamically tuning processor resources with adaptive processing
-
December
-
D. H. Albonesi, R. Balasubramonian, S. G. Dropsho, S. Dwarkadas, E. G. Friedman, M. C. Huang, V. Kursun, G. Magklis, M. L. Scott, G. Semeraro, P. Bose, A. Buyuktosunoglu, P. W. Cook, and S. E. Schuster. Dynamically tuning processor resources with adaptive processing. Computer, 36:49-58, December 2003.
-
(2003)
Computer
, vol.36
, pp. 49-58
-
-
Albonesi, D.H.1
Balasubramonian, R.2
Dropsho, S.G.3
Dwarkadas, S.4
Friedman, E.G.5
Huang, M.C.6
Kursun, V.7
Magklis, G.8
Scott, M.L.9
Semeraro, G.10
Bose, P.11
Buyuktosunoglu, A.12
Cook, P.W.13
Schuster, S.E.14
-
3
-
-
70450227331
-
PetaBricks: A language and compiler for algorithmic choice
-
J. Ansel, C. Chan, Y. L. Wong, M. Olszewski, Q. Zhao, A. Edelman, and S. Amarasinghe. PetaBricks: A language and compiler for algorithmic choice. In PLDI, 2009.
-
(2009)
PLDI
-
-
Ansel, J.1
Chan, C.2
Wong, Y.L.3
Olszewski, M.4
Zhao, Q.5
Edelman, A.6
Amarasinghe, S.7
-
4
-
-
0034461413
-
Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures
-
R. Balasubramonian, D. Albonesi, A. Buyuktosunoglu, and S. Dwarkadas. Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures. In MICRO, 2000.
-
(2000)
MICRO
-
-
Balasubramonian, R.1
Albonesi, D.2
Buyuktosunoglu, A.3
Dwarkadas, S.4
-
5
-
-
66749161432
-
Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach
-
R. Bitirgen, E. Ipek, and J. F. Martinez. Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach. In MICRO, 2008.
-
(2008)
MICRO
-
-
Bitirgen, R.1
Ipek, E.2
Martinez, J.F.3
-
6
-
-
33845197614
-
A 256kb sub-threshold SRAM in 65nm CMOS
-
B. Calhoun and A. Chandrakasan. A 256kb sub-threshold SRAM in 65nm CMOS. In ISSCC, 2006.
-
(2006)
ISSCC
-
-
Calhoun, B.1
Chandrakasan, A.2
-
7
-
-
33644640188
-
Stable SRAM cell design for the 32 nm node and beyond
-
L. Chang, D. Fried, J. Hergenrother, J. Sleight, R. Dennard, R. Montoye, L. Sekaric, S. McNab, A. Topol, C. Adams, K. Guarini, and W. Haensch. Stable SRAM cell design for the 32 nm node and beyond. In Symposium on VLSI Technology, 2005.
-
Symposium on VLSI Technology, 2005
-
-
Chang, L.1
Fried, D.2
Hergenrother, J.3
Sleight, J.4
Dennard, R.5
Montoye, R.6
Sekaric, L.7
McNab, S.8
Topol, A.9
Adams, C.10
Guarini, K.11
Haensch, W.12
-
8
-
-
77955098159
-
Physical vs. Virtual Express Topologies with Low-Swing Links for Future Many-core NoCs
-
C.-H. O. Chen, N. Agarwal, T. Krishna, K.-H. Koo, L.-S. Peh, and K. C. Saraswat. Physical vs. Virtual Express Topologies with Low-Swing Links for Future Many-core NoCs. In NOCS, 2010.
-
(2010)
NOCS
-
-
Chen, C.-H.O.1
Agarwal, N.2
Krishna, T.3
Koo, K.-H.4
Peh, L.-S.5
Saraswat, K.C.6
-
9
-
-
70449652982
-
Oblivious Routing in On-Chip Bandwidth-Adaptive Networks
-
M. H. Cho, M. Lis, K. S. Shim, M. Kinsy, T. Wen, and S. Devadas. Oblivious Routing in On-Chip Bandwidth-Adaptive Networks. In PACT, 2009.
-
(2009)
PACT
-
-
Cho, M.H.1
Lis, M.2
Shim, K.S.3
Kinsy, M.4
Wen, T.5
Devadas, S.6
-
10
-
-
33845901233
-
Learning-Based SMT Processor Resource Distribution via Hill-Climbing
-
S. Choi and D. Yeung. Learning-Based SMT Processor Resource Distribution via Hill-Climbing. In ISCA, 2006.
-
(2006)
ISCA
-
-
Choi, S.1
Yeung, D.2
-
11
-
-
79951697270
-
A predictive model for dynamic microarchitectural adaptivity control
-
C. Dubach, T. M. Jones, E. V. Bonilla, and M. F. P. O'Boyle. A predictive model for dynamic microarchitectural adaptivity control. In MICRO, 2010.
-
(2010)
MICRO
-
-
Dubach, C.1
Jones, T.M.2
Bonilla, E.V.3
O'Boyle, M.F.P.4
-
12
-
-
77954740538
-
Smartlocks: Lock acquisition scheduling for self-aware synchronization
-
J. Eastep, D. Wingate, M. D. Santambrogio, and A. Agarwal. Smartlocks: lock acquisition scheduling for self-aware synchronization. In ICAC, 2010.
-
(2010)
ICAC
-
-
Eastep, J.1
Wingate, D.2
Santambrogio, M.D.3
Agarwal, A.4
-
13
-
-
0001617669
-
Reducing memory and traffic requirements for scalable directory-based cache coherence schemes
-
A. Gupta, W. Weber, and T. Mowry. Reducing memory and traffic requirements for scalable directory-based cache coherence schemes. In ICPP, 1990.
-
(1990)
ICPP
-
-
Gupta, A.1
Weber, W.2
Mowry, T.3
-
14
-
-
77954728886
-
Application heartbeats: A generic interface for specifying program performance and goals in autonomous computing environments
-
H. Hoffmann, J. Eastep, M. D. Santambrogio, J. E. Miller, and A. Agarwal. Application heartbeats: a generic interface for specifying program performance and goals in autonomous computing environments. In ICAC, 2010.
-
(2010)
ICAC
-
-
Hoffmann, H.1
Eastep, J.2
Santambrogio, M.D.3
Miller, J.E.4
Agarwal, A.5
-
15
-
-
84857165143
-
-
Technical Report MIT-CSAIL-TR-2011-046, MIT, November
-
H. Hoffmann, M. Maggio, M. D. Santambrogio, A. Leva, and A. Agarwal. SEEC: A General and Extensible Framework for Self-Aware Computing. Technical Report MIT-CSAIL-TR-2011-046, MIT, November 2011.
-
(2011)
SEEC: A General and Extensible Framework for Self-Aware Computing
-
-
Hoffmann, H.1
Maggio, M.2
Santambrogio, M.D.3
Leva, A.4
Agarwal, A.5
-
16
-
-
79953120063
-
Dynamic knobs for responsive power-aware computing
-
H. Hoffmann, S. Sidiroglou, M. Carbin, S. Misailovic, A. Agarwal, and M. Rinard. Dynamic knobs for responsive power-aware computing. In ASPLOS, 2011.
-
(2011)
ASPLOS
-
-
Hoffmann, H.1
Sidiroglou, S.2
Carbin, M.3
Misailovic, S.4
Agarwal, A.5
Rinard, M.6
-
17
-
-
82955225008
-
A 10 pJ/cycle ultra-low-voltage 32-bit microprocessor system-on-chip
-
sept.
-
N. Ickes, Y. Sinangil, F. Pappalardo, E. Guidetti, and A. Chandrakasan. A 10 pJ/cycle ultra-low-voltage 32-bit microprocessor system-on-chip. In ESSCIRC, sept. 2011.
-
(2011)
ESSCIRC
-
-
Ickes, N.1
Sinangil, Y.2
Pappalardo, F.3
Guidetti, E.4
Chandrakasan, A.5
-
18
-
-
0037253062
-
The vision of autonomic computing
-
January
-
J. O. Kephart and D. M. Chess. The vision of autonomic computing. Computer, 36:41-50, January 2003.
-
(2003)
Computer
, vol.36
, pp. 41-50
-
-
Kephart, J.O.1
Chess, D.M.2
-
19
-
-
83455210135
-
ARCc: A case for an architecturally redundant cache-coherence architecture for large multicores
-
O. Khan, H. Homann, M. Lis, F. Hijaz, A. Agarwal, and S. Devadas. ARCc: A case for an architecturally redundant cache-coherence architecture for large multicores. In ICCD, 2011.
-
(2011)
ICCD
-
-
Khan, O.1
Homann, H.2
Lis, M.3
Hijaz, F.4
Agarwal, A.5
Devadas, S.6
-
20
-
-
0036949388
-
An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches
-
C. Kim, D. Burger, and S. W. Keckler. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. In ASPLOS, 2002.
-
(2002)
ASPLOS
-
-
Kim, C.1
Burger, D.2
Keckler, S.W.3
-
21
-
-
34548813602
-
A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme
-
T.-H. Kim, J. Liu, J. Keane, and C. Kim. A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme. In ISSCC, 2007.
-
(2007)
ISSCC
-
-
Kim, T.-H.1
Liu, J.2
Keane, J.3
Kim, C.4
-
22
-
-
70450237428
-
Application-Aware Deadlock-Free Oblivious Routing
-
M. Kinsy, M. H. Cho, T. Wen, E. Suh, M. van Dijk, and S. Devadas. Application-Aware Deadlock-Free Oblivious Routing. In ISCA, 2009.
-
(2009)
ISCA
-
-
Kinsy, M.1
Cho, M.H.2
Wen, T.3
Suh, E.4
Van Dijk, M.5
Devadas, S.6
-
23
-
-
0032625886
-
Guest editor's introduction: Creating robust software through self-adaptation
-
May
-
R. Laddaga. Guest editor's introduction: Creating robust software through self-adaptation. IEEE Intelligent Systems, 14:26-29, May 1999.
-
(1999)
IEEE Intelligent Systems
, vol.14
, pp. 26-29
-
-
Laddaga, R.1
-
24
-
-
85081543304
-
Multicore performance optimization using partner cores
-
E. Lau, J. E. Miller, I. Choi, D. Yeung, S. Amarasinghe, and A. Agarwal. Multicore performance optimization using partner cores. In HotPar, 2011.
-
(2011)
HotPar
-
-
Lau, E.1
Miller, J.E.2
Choi, I.3
Yeung, D.4
Amarasinghe, S.5
Agarwal, A.6
-
25
-
-
84871818936
-
Power optimization in embedded systems via feedback control of resource allocation
-
PP
-
M. Maggio, H. Hoffmann, M. D. Santambrogio, A. Agarwal, and A. Leva. Power optimization in embedded systems via feedback control of resource allocation. IEEE Transactions on Control Systems Technology, PP(99):1-8.
-
IEEE Transactions on Control Systems Technology
, Issue.99
, pp. 1-8
-
-
Maggio, M.1
Hoffmann, H.2
Santambrogio, M.D.3
Agarwal, A.4
Leva, A.5
-
26
-
-
79953087026
-
Controlling software applications via resource allocation within the heartbeats framework
-
M. Maggio, H. Hoffmann, M. D. Santambrogio, A. Agarwal, and A. Leva. Controlling software applications via resource allocation within the heartbeats framework. In CDC, 2010.
-
(2010)
CDC
-
-
Maggio, M.1
Hoffmann, H.2
Santambrogio, M.D.3
Agarwal, A.4
Leva, A.5
-
27
-
-
79960158772
-
Decision making in autonomic computing systems: Comparison of approaches and techniques
-
M. Maggio, H. Hoffmann, M. D. Santambrogio, A. Agarwal, and A. Leva. Decision making in autonomic computing systems: comparison of approaches and techniques. In ICAC, 2011.
-
(2011)
ICAC
-
-
Maggio, M.1
Hoffmann, H.2
Santambrogio, M.D.3
Agarwal, A.4
Leva, A.5
-
28
-
-
77952563226
-
Graphite: A distributed parallel simulator for multicores
-
J. E. Miller, H. Kasture, G. Kurian, C. Gruenwald III, N. Beckmann, C. Celio, J. Eastep, and A. Agarwal. Graphite: A distributed parallel simulator for multicores. In HPCA, 2010.
-
(2010)
HPCA
-
-
Miller, J.E.1
Kasture, H.2
Kurian, G.3
Gruenwald III, C.4
Beckmann, N.5
Celio, C.6
Eastep, J.7
Agarwal, A.8
-
29
-
-
84889938050
-
-
MIT. The MIT angstrom project. http://projects.csail.mit.edu/angstrom, 2012.
-
(2012)
The MIT Angstrom Project
-
-
-
30
-
-
79955713571
-
A 32nm 3.1 billion transistor 12-wide-issue Itanium processor for mission-critical servers
-
R. Riedlinger, R. Bhatia, L. Biro, B. Bowhill, E. Fetzer, P. Gronowski, and T. Grutkowski. A 32nm 3.1 billion transistor 12-wide-issue Itanium processor for mission-critical servers. In ISSCC, 2011.
-
(2011)
ISSCC
-
-
Riedlinger, R.1
Bhatia, R.2
Biro, L.3
Bowhill, B.4
Fetzer, E.5
Gronowski, P.6
Grutkowski, T.7
-
31
-
-
84863540445
-
Power management architecture of the 2nd generation Intel Core microarchitecture, formerly codenamed Sandy Bridge
-
Aug.
-
E. Rotem, A. Naveh, D. R. amd Avinash Ananthakrishnan, and E. Weissmann. Power management architecture of the 2nd generation Intel Core microarchitecture, formerly codenamed Sandy Bridge. In Hot Chips, Aug. 2011.
-
(2011)
Hot Chips
-
-
Rotem, E.1
Naveh, A.2
Amd Avinash Ananthakrishnan, D.R.3
Weissmann, E.4
-
32
-
-
70349742463
-
Self-adaptive software: Landscape and research challenges
-
M. Salehie and L. Tahvildari. Self-adaptive software: Landscape and research challenges. ACM Trans. Auton. Adapt. Syst., 4(2):1-42, 2009.
-
(2009)
ACM Trans. Auton. Adapt. Syst.
, vol.4
, Issue.2
, pp. 1-42
-
-
Salehie, M.1
Tahvildari, L.2
-
33
-
-
79955745978
-
A 28nm high-density 6T SRAM with optimized peripheral-assist circuits for operation down to 0.6V
-
M. Sinangil, H. Mair, and A. Chandrakasan. A 28nm high-density 6T SRAM with optimized peripheral-assist circuits for operation down to 0.6V. In ISSCC, 2011.
-
(2011)
ISSCC
-
-
Sinangil, M.1
Mair, H.2
Chandrakasan, A.3
-
34
-
-
84886785974
-
-
P. Team
-
P. Team. Online document, http://icl.cs.utk.edu/papi/.
-
Online Document
-
-
-
35
-
-
0029179077
-
The splash-2 programs: Characterization and methodological considerations
-
May
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The splash-2 programs: characterization and methodological considerations. SIGARCH Comput. Archit. News, 23:24-36, May 1995.
-
(1995)
SIGARCH Comput. Archit. News
, vol.23
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
|