-
1
-
-
34548216858
-
The design space of data-parallel memory systems
-
AHN, J., EREZ, M., AND DALLY, W. J. 2006. The design space of data-parallel memory systems. In Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis.
-
(2006)
Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis
-
-
Ahn, J.1
Erez, M.2
Dally, W.J.3
-
2
-
-
74049138478
-
Multicore DIMM: An energy efficient memory module with independently controlled DRAMs
-
AHN, J., LEVERICH, J., SCHREIBER, R. S., AND JOUPPI, N. P. 2008. Multicore DIMM: An energy efficient memory module with independently controlled DRAMs. Comput. Architec. Lett. 7, 1.
-
(2008)
Comput. Architec. Lett.
, vol.7
, pp. 1
-
-
Ahn, J.1
Leverich, J.2
Schreiber, R.S.3
Jouppi, N.P.4
-
3
-
-
74049087888
-
Future scaling of processor-memory interfaces
-
AHN, J., JOUPPI, N. P., KOZYRAKIS, C., LEVERICH, J., AND SCHREIBER, R. S. 2009. Future scaling of processor-memory interfaces. In Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis.
-
(2009)
Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis
-
-
Ahn, J.1
Jouppi, N.P.2
Kozyrakis, C.3
Leverich, J.4
Schreiber, R.S.5
-
5
-
-
85015899515
-
The price of performance
-
BARROSO, L. A. 2005. The price of performance. Queue 3, 7, 48-53.
-
(2005)
Queue
, vol.3
, Issue.7
, pp. 48-53
-
-
Barroso, L.A.1
-
6
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
BIENIA, C., KUMAR, S., SINGH, J. P., AND LI, K. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the International Conference on Parallel Architectures and Compiler Techniques.
-
(2008)
Proceedings of the International Conference on Parallel Architectures and Compiler Techniques
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
K, L.I.4
-
10
-
-
0027640963
-
Cache performance of the SPEC92 benchmark suite
-
GEE, J., HILL, M. D., PNEVMATIKATOS, D. N., AND SMITH, A. J. 1993. Cache performance of the SPEC92 benchmark suite. IEEE Micro 13.
-
(1993)
IEEE Micro
, vol.13
-
-
Gee, J.1
Hill, M.D.2
Pnevmatikatos, D.N.3
Smith, A.J.4
-
13
-
-
52249084428
-
Performance counters and development of SPEC CPU2006
-
HENNING, J. L. 2007. Performance counters and development of SPEC CPU2006. SIGARCH Comput. Architect. News 35, 1.
-
(2007)
SIGARCH Comput. Architect. News
, vol.35
, pp. 1
-
-
Henning, J.L.1
-
14
-
-
33646922057
-
The future of wires
-
HO, R.,MAI, K., AND HOROWITZ, M. A. 2001. The future of wires. Proc. IEEE 89, 4.
-
(2001)
Proc. IEEE
, vol.89
, pp. 4
-
-
R, H.O.1
Mai, K.2
Horowitz, M.A.3
-
18
-
-
85143566432
-
-
Morgan Kaufmann
-
JACOB, B., NG, S. W., AND WANG, D. T. 2007. Memory Systems: Cache, DRAM, Disk. Morgan Kaufmann.
-
(2007)
Memory Systems: Cache, DRAM, Disk
-
-
Jacob, B.1
W, N.G.S.2
Wang, D.T.3
-
19
-
-
67249092434
-
-
JESD79-3B
-
JEDEC. 2007. DDR3 SDRAM specification. JESD79-3B, http://www.jedec.org/ download/ search/JESD79-3B.pdf.
-
(2007)
DDR3 SDRAM Specification
-
-
-
22
-
-
0037957323
-
The AMD Opteron processor for multiprocessor servers
-
KELTCHER, C., MCGRATH, K., AHMED, A., AND CONWAY, P. 2003. The AMD Opteron processor for multiprocessor servers. IEEE Micro 23, 2.
-
(2003)
IEEE Micro
, vol.23
, pp. 2
-
-
Keltcher, C.1
McGrath, K.2
Ahmed, A.3
Conway, P.4
-
23
-
-
20344374162
-
Niagara: A 32-way multithreaded Sparc processor
-
KONGETIRA, P., AINGARAN, K., AND OLUKOTUN, K. 2005. Niagara: A 32-way multithreaded Sparc processor. IEEE Micro 25, 2.
-
(2005)
IEEE Micro
, vol.25
, pp. 2
-
-
Kongetira, P.1
Aingaran, K.2
Olukotun, K.3
-
24
-
-
0034442261
-
Power aware page allocation
-
LEBECK, A. R., FAN, X., ZENG, H., AND ELLIS, C. 2000. Power aware page allocation. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems.
-
(2000)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems
-
-
Lebeck, A.R.1
Fan, X.2
Zeng, H.3
Ellis, C.4
-
25
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
LI, S., AHN, J., STRONG, R. D., BROCKMAN, J. B., TULLSEN, D. M., AND JOUPPI, N. P. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture.
-
(2009)
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture
-
-
S, L.I.1
Ahn, J.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
26
-
-
52749085695
-
Understanding and designing new server architectures for emerging warehouse-computing environments
-
LIM, K., RANGANATHAN, P., CHANG, J., PATEL, C.,MUDGE, T., AND REINHARDT, S. 2008. Understanding and designing new server architectures for emerging warehouse-computing environments. In Proceedings of the 35th International Symposium on Computer Architecture.
-
(2008)
Proceedings of the 35th International Symposium on Computer Architecture
-
-
Lim, K.1
Ranganathan, P.2
Chang, J.3
Patel, C.4
Mudge, T.5
Reinhardt, S.6
-
27
-
-
31944440969
-
Pin: Building customized program analysis tools with dynamic instrumentation
-
LUK, C.-K., COHN, R., MUTH, R., PATIL, H., KLAUSER, A., LOWNEY, G., WALLACE, S., REDDI, V. J., AND HAZELWOOD, K. 2005. Pin: Building customized program analysis tools with dynamic instrumentation. In Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation.
-
(2005)
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation
-
-
Luk, C.-K.1
Cohn, R.2
Muth, R.3
Patil, H.4
Klauser, A.5
Lowney, G.6
Wallace, S.7
Reddi, V.J.8
Hazelwood, K.9
-
28
-
-
33748870886
-
Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset
-
MARTIN, M.M. K., SORIN, D. J., BECKMANN, B.M.,MARTY, M. R., XU, M.,ALAMELDEEN, A. R.,MOORE, K. E., HILL, M. D., AND WOOD, D. A. 2005. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Comput. Architect. News 33, 4.
-
(2005)
SIGARCH Comput. Architect. News
, vol.33
, pp. 4
-
-
Martin, M.M.K.1
Sorin, D.J.2
Beckmann, B.M.3
Marty, M.R.4
Xu, M.5
Alameldeen, A.R.6
Moore, K.E.7
Hill, M.D.8
Wood, D.A.9
-
29
-
-
0034581564
-
Design of a parallel vector access unit for SDRAM memory systems
-
MATHEW, B. K., MCKEE, S. A., CARTER, J. B., AND DAVIS, A. 2000. Design of a parallel vector access unit for SDRAM memory systems. In Proceedings of the 6th IEEE International Symposium on High Performance Computer Architecture.
-
(2000)
Proceedings of the 6th IEEE International Symposium on High Performance Computer Architecture
-
-
Mathew, B.K.1
McKee, S.A.2
Carter, J.B.3
Davis, A.4
-
31
-
-
84859467338
-
-
MICRON TECHNOLOGY INC. Rev. K 04/10 EN
-
MICRON TECHNOLOGY INC. 2006. DDR3 SDRAM Datasheet. Rev. K 04/10 EN, http://www.micron.com/products/dram/ddr3/.
-
(2006)
DDR3 SDRAM Datasheet
-
-
-
32
-
-
74049125756
-
-
MICRON TECHNOLOGY INC. Tech. rep. TN-41-01, Micron
-
MICRON TECHNOLOGY INC. 2007. Calculating memory system power for DDR3. Tech. rep. TN-41-01, Micron.
-
(2007)
Calculating Memory System Power for DDR3
-
-
-
33
-
-
67650597907
-
-
MICRON TECHNOLOGY INC.
-
MICRON TECHNOLOGY INC. 2008. RLDRAM datasheet. http://www.micron.com/ products/ dram/rldram/.
-
(2008)
RLDRAM Datasheet
-
-
-
37
-
-
34548050337
-
Fair queuing memory systems
-
NESBIT, K. J., AGGARWAL, N., LAUDON, J., AND SMITH, J. E. 2006. Fair queuing memory systems. In Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture.
-
(2006)
Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture
-
-
Nesbit, K.J.1
Aggarwal, N.2
Laudon, J.3
Smith, J.E.4
-
39
-
-
62749197537
-
Controlling program execution through binary instrumentation
-
PAN, H., ASANOVIĆ, K., COHN, R., AND LUK, C.-K. 2005. Controlling program execution through binary instrumentation. SIGARCH Comput. Architect. News 33, 5.
-
(2005)
SIGARCH Comput. Architect. News
, vol.33
, pp. 5
-
-
Pan, H.1
Asanović, K.2
Cohn, R.3
Luk, C.-K.4
-
41
-
-
84859461076
-
-
RAMBUS. 1999. RDRAM, http://www.rambus.com.
-
(1999)
RDRAM
-
-
-
42
-
-
0033691565
-
Memory access scheduling
-
RIXNER, S.,DALLY, W. J.,KAPASI, U. J.,MATTSON, P. R., AND OWENS, J. D. 2000. Memory access scheduling. In Proceedings of the 27th International Symposium on Computer Architecture.
-
(2000)
Proceedings of the 27th International Symposium on Computer Architecture
-
-
Rixner, S.1
Dally, W.J.2
Kapasi, U.J.3
Mattson, P.R.4
Owens, J.D.5
-
43
-
-
0035473059
-
High-speed architectures for Reed-Solomon decoders
-
SARWATE, D. V. AND SHANBHAG, N. R. 2001. High-speed architectures for Reed-Solomon decoders. IEEE Trans. VLSI Syst. 9, 5.
-
(2001)
IEEE Trans. VLSI Syst.
, vol.9
, pp. 5
-
-
Sarwate, D.V.1
Shanbhag, N.R.2
-
46
-
-
0036953769
-
Automatically characterizing large scale program behavior
-
SHERWOOD, T., PERELMAN, E., HAMERLY, G., AND CALDER, B. 2002. Automatically characterizing large scale program behavior. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems.
-
(2002)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems
-
-
Sherwood, T.1
Perelman, E.2
Hamerly, G.3
Calder, B.4
-
47
-
-
77952283542
-
Micro-Pages: Increasing DRAM efficiency with locality-aware data placement
-
SUDAN, K., CHATTERJEE, N., NELLANS, D., AWASTHI, M., BALASUBRAMONIAN, R., AND DAVIS, A. 2010. Micro-Pages: Increasing DRAM efficiency with locality-aware data placement. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems.
-
(2010)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems
-
-
Sudan, K.1
Chatterjee, N.2
Nellans, D.3
Awasthi, M.4
Balasubramonian, R.5
Davis, A.6
-
48
-
-
52649139073
-
A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies
-
THOZIYOOR, S., AHN, J.,MONCHIERO, M., BROCKMAN, J. B., AND JOUPPI, N. P. 2008a. A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies. In Proceedings of the 35th International Symposium on Computer Architecture.
-
(2008)
Proceedings of the 35th International Symposium on Computer Architecture
-
-
Thoziyoor, S.1
Ahn, J.2
Monchiero, M.3
Brockman, J.B.4
Jouppi, N.P.5
-
49
-
-
77949460181
-
-
HPL- 2008-20, HP Labs
-
THOZIYOOR, S.,MURALIMANOHAR, N., AHN, J., AND JOUPPI, N. P. 2008b. Cacti 5.1. Tech. rep. HPL-2008-20, HP Labs.
-
(2008)
Cacti 5.1. Tech. Rep.
-
-
Thoziyoor, S.1
Muralimanohar, N.2
Ahn, J.3
Jouppi, N.P.4
-
50
-
-
77954989143
-
Rethinking DRAM design and organization for energy-constrained multi-cores
-
UDIPI, A. N., MURALIMANOHAR, N., CHATTERJEE, N., BALASUBRAMONIAN, R., DAVIS, A., AND JOUPPI, N. P. 2010. Rethinking DRAM design and organization for energy-constrained multi-cores. In Proceedings of the 37th International Symposium on Computer Architecture.
-
(2010)
Proceedings of the 37th International Symposium on Computer Architecture
-
-
Udipi, A.N.1
Muralimanohar, N.2
Chatterjee, N.3
Balasubramonian, R.4
Davis, A.5
Jouppi, N.P.6
-
52
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
WOO, S. C., OHARA, M., TORRIE, E., SINGH, J. P., AND GUPTA, A. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the 22nd International Symposium on Computer Architecture.
-
(1995)
Proceedings of the 22nd International Symposium on Computer Architecture
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
55
-
-
66749162556
-
Mini-Rank: Adaptive DRAM architecture for improving memory power efficiency
-
ZHENG, H., LIN, J., ZHANG, Z., GORBATOV, E., DAVID, H., AND ZHU, Z. 2008. Mini-Rank: Adaptive DRAM architecture for improving memory power efficiency. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture.
-
(2008)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
-
-
Zheng, H.1
Lin, J.2
Zhang, Z.3
Gorbatov, E.4
David, H.5
Zhu, Z.6
|