-
1
-
-
55449106208
-
Phase-change random access memory: A scalable technology
-
S. Raoux, G. W. Burr, M. J. Breitwisch, C. T. Rettner et al., "Phase-change random access memory: a scalable technology," IBM Journal of Research and Development, vol. 52, no. 4/5, 2008.
-
(2008)
IBM Journal of Research and Development
, vol.52
, Issue.4-5
-
-
Raoux, S.1
Burr, G.W.2
Breitwisch, M.J.3
Rettner, C.T.4
-
2
-
-
70450235471
-
Architecting phase change memory as a scalable DRAM alternative
-
B. C. Lee, E. Ipek, O. Mutlu, and D. Burger, "Architecting phase change memory as a scalable DRAM alternative," in Proceedings of the International Symposium on Computer Architecture, 2009, pp. 2-13.
-
Proceedings of the International Symposium on Computer Architecture, 2009
, pp. 2-13
-
-
Lee, B.C.1
Ipek, E.2
Mutlu, O.3
Burger, D.4
-
4
-
-
56749145921
-
A low-power phase change memory based hybrid cache architecture
-
P. Mangalagiri, K. Sarpatwari, A. Yanamandra, V. Narayanan, Y. Xie et al., "A low-power phase change memory based hybrid cache architecture,"in Proceedings of the Great Lakes Symposium on VLSI, 2008, pp. 395-398.
-
Proceedings of the Great Lakes Symposium on VLSI, 2008
, pp. 395-398
-
-
Mangalagiri, P.1
Sarpatwari, K.2
Yanamandra, A.3
Narayanan, V.4
Xie, Y.5
-
5
-
-
70450243083
-
Hybrid cache architecture with disparate memory technologies
-
X. Wu, J. Li, L. Zhang, E. Speight, R. Rajamony, and Y. Xie, "Hybrid cache architecture with disparate memory technologies," in Proceedings of the International Symposium on Computer Architecture, 2009, pp. 34-45.
-
Proceedings of the International Symposium on Computer Architecture, 2009
, pp. 34-45
-
-
Wu, X.1
Li, J.2
Zhang, L.3
Speight, E.4
Rajamony, R.5
Xie, Y.6
-
6
-
-
77953117822
-
Energy- And endurance-aware design of phase change memory caches
-
Y. Joo, D. Niu, X. Dong, G. Sun, N. Chang et al., "Energy- and endurance-aware design of phase change memory caches," in Proceedings of the Design, Automation and Test in Europe Conference, 2010, pp. 136-141.
-
Proceedings of the Design, Automation and Test in Europe Conference, 2010
, pp. 136-141
-
-
Joo, Y.1
Niu, D.2
Dong, X.3
Sun, G.4
Chang, N.5
-
7
-
-
70450273507
-
Scalable high performance main memory system using phase-change memory technology
-
M. K. Qureshi, V. Srinivasan, and J. A. Rivers, "Scalable high performance main memory system using phase-change memory technology,"in Proceedings of the International Symposium on Computer Architecture, 2009, pp. 24-33.
-
Proceedings of the International Symposium on Computer Architecture, 2009
, pp. 24-33
-
-
Qureshi, M.K.1
Srinivasan, V.2
Rivers, J.A.3
-
8
-
-
77954972235
-
Morphable memory system: A robust architecture for exploiting multi-level phase change memories
-
M. K. Qureshi, M. M. Franceschini, L. A. Lastras-Montano, and J. P. Karidis, "Morphable memory system: A robust architecture for exploiting multi-level phase change memories," in Proceedings of the International Symposium on Computer Architecture, 2010, pp. 153-162.
-
Proceedings of the International Symposium on Computer Architecture, 2010
, pp. 153-162
-
-
Qureshi, M.K.1
Franceschini, M.M.2
Lastras-Montano, L.A.3
Karidis, J.P.4
-
9
-
-
58149231291
-
A bipolar-selected phase change memory featuring multi-level cell storage
-
F. Bedeschi, R. Fackenthal, C. Resta, E. Donze et al., "A bipolar-selected phase change memory featuring multi-level cell storage," IEEE Journal of Solid-State Circuits, vol. 44, no. 1, pp. 217-227, 2009.
-
(2009)
IEEE Journal of Solid-State Circuits
, vol.44
, Issue.1
, pp. 217-227
-
-
Bedeschi, F.1
Fackenthal, R.2
Resta, C.3
Donze, E.4
-
10
-
-
42149105894
-
Write strategies for 2 and 4-bit multi-level phase-change memory
-
T. Nirschl, J. Phipp, T. Happ, G. Burr et al., "Write strategies for 2 and 4-bit multi-level phase-change memory," in Proceedings of the IEEE International Electron Devices Meeting, 2007, pp. 461-464.
-
Proceedings of the IEEE International Electron Devices Meeting, 2007
, pp. 461-464
-
-
Nirschl, T.1
Phipp, J.2
Happ, T.3
Burr, G.4
-
11
-
-
51949114502
-
Two-bit cell operation in diode-switch phase change memory cells with 90nm technology
-
D.-H. Kang, J.-H. Lee, J. Kong, D. Ha et al., "Two-bit cell operation in diode-switch phase change memory cells with 90nm technology," in Proceedings of the Symposium on VLSI Technology, 2008, pp. 98-99.
-
Proceedings of the Symposium on VLSI Technology, 2008
, pp. 98-99
-
-
Kang, D.-H.1
Lee, J.-H.2
Kong, J.3
Ha, D.4
-
12
-
-
79955881792
-
Mercury: A fast and energy-efficient multi-level cell based phase change memory system
-
M. Joshi, W. Zhang, and T. Li, "Mercury: A fast and energy-efficient multi-level cell based phase change memory system," in Proceedings of the International Symposium on High Performance Computer Architecture, 2011, pp. 345-356.
-
Proceedings of the International Symposium on High Performance Computer Architecture, 2011
, pp. 345-356
-
-
Joshi, M.1
Zhang, W.2
Li, T.3
-
13
-
-
76749111585
-
Characterizing flash memory: Anomalies, observations, and applications
-
New York, New York: ACM
-
L. M. Grupp, A. M. Caulfield, J. Coburn, S. Swanson, E. Yaakobi et al., "Characterizing flash memory: anomalies, observations, and applications,"in Proceedings of the International Symposium on Microarchitecture. New York, New York: ACM, 2009, pp. 24-33.
-
(2009)
Proceedings of the International Symposium on Microarchitecture
, pp. 24-33
-
-
Grupp, L.M.1
Caulfield, A.M.2
Coburn, J.3
Swanson, S.4
Yaakobi, E.5
-
14
-
-
70349317377
-
Characterization of phase change memory materials using phase change bridge devices
-
D. Krebs, S. Raoux, C. T. Rettner, G. W. Burr et al., "Characterization of phase change memory materials using phase change bridge devices,"Journal of Applied Physics, vol. 106, no. 5, p. 054308, 2009.
-
(2009)
Journal of Applied Physics
, vol.106
, Issue.5
, pp. 054308
-
-
Krebs, D.1
Raoux, S.2
Rettner, C.T.3
Burr, G.W.4
-
15
-
-
67649099945
-
SET and RESET pulse characterization in BJT-selected phase-change memories
-
F. Bedeschi, E. Bonizzoni, G. Casagrande, R. Gastaldi et al., "SET and RESET pulse characterization in BJT-selected phase-change memories,"in Proceedings of the IEEE International Symposium on Circuits and Systems, 2005, pp. 1270-1273.
-
Proceedings of the IEEE International Symposium on Circuits and Systems, 2005
, pp. 1270-1273
-
-
Bedeschi, F.1
Bonizzoni, E.2
Casagrande, G.3
Gastaldi, R.4
-
16
-
-
79955720992
-
A 58nm 1.8v 1gb pram with 6.4mb/s program bw
-
H. Chung, B. H. Jeong, B. Min, Y. Choi, B.-H. Cho et al., "A 58nm 1.8v 1gb pram with 6.4mb/s program bw," in Proceedings of the International Solid-State Circuits Conference, 2011, pp. 500-502.
-
Proceedings of the International Solid-State Circuits Conference, 2011
, pp. 500-502
-
-
Chung, H.1
Jeong, B.H.2
Min, B.3
Choi, Y.4
Cho, B.-H.5
-
17
-
-
34548825142
-
A low power phase-change random access memory using a data-comparison write scheme
-
Y. Byung-Do, L. Jae-Eun, K. Jang-Su, C. Junghyun, L. Seung-Yun et al., "A low power phase-change random access memory using a data-comparison write scheme," in Proceedings of the International Symposium on Circuits and Systems, 2007, pp. 3014-3017.
-
Proceedings of the International Symposium on Circuits and Systems, 2007
, pp. 3014-3017
-
-
Byung-Do, Y.1
Jae-Eun, L.2
Jang-Su, K.3
Junghyun, C.4
Seung-Yun, L.5
-
18
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li, "The PARSEC benchmark suite: characterization and architectural implications," in Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2008, pp. 72-81.
-
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2008
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
20
-
-
0036469676
-
Simics: A full system simulation platform
-
P. S. Magnusson, M. Christensson, J. Eskilson, D. Forsgren et al., "Simics: A full system simulation platform," Computer, vol. 35, no. 2, pp. 50-58, 2002.
-
(2002)
Computer
, vol.35
, Issue.2
, pp. 50-58
-
-
Magnusson, P.S.1
Christensson, M.2
Eskilson, J.3
Forsgren, D.4
-
21
-
-
70450277571
-
A durable and energy efficient main memory using phase change memory technology
-
P. Zhou, B. Zhao, J. Yang, and Y. Zhang, "A durable and energy efficient main memory using phase change memory technology," in Proceedings of the International Symposium on Computer Architecture, 2009, pp. 14-23.
-
Proceedings of the International Symposium on Computer Architecture, 2009
, pp. 14-23
-
-
Zhou, P.1
Zhao, B.2
Yang, J.3
Zhang, Y.4
-
22
-
-
76749099329
-
Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance
-
S. Cho and H. Lee, "Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance," in Proceedings of the International Symposium on Microarchitecture, 2009, pp. 347-357.
-
Proceedings of the International Symposium on Microarchitecture, 2009
, pp. 347-357
-
-
Cho, S.1
Lee, H.2
-
23
-
-
70449728142
-
Data manipulation techniques to reduce phase change memory write energy
-
W. Xu, J. Liu, and T. Zhang, "Data manipulation techniques to reduce phase change memory write energy," in Proceedings of the International Symposium on Low power Electronics and Design, 2009, pp. 237-242.
-
Proceedings of the International Symposium on Low Power Electronics and Design, 2009
, pp. 237-242
-
-
Xu, W.1
Liu, J.2
Zhang, T.3
-
24
-
-
34547370479
-
Energy-aware data compression for multi-level cell (MLC) flash memory
-
Y. Joo, Y. Cho, D. Shin et al., "Energy-aware data compression for multi-level cell (MLC) flash memory," in Proceedings of the Design Automation Conference, 2007, pp. 716-719.
-
Proceedings of the Design Automation Conference, 2007
, pp. 716-719
-
-
Joo, Y.1
Cho, Y.2
Shin, D.3
|