-
1
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: Characterization and architectural implications. In PACT, pages 72-81, 2008.
-
(2008)
PACT
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
3
-
-
84903142308
-
DarkNoC: Designing energy-efficient network-on-chip with multi-vt cells for dark silicon
-
H. Bokhari, H. Javaid, M. Shafique, J. Henkel, and S. Parameswaran. darkNoC: Designing energy-efficient network-on-chip with multi-vt cells for dark silicon. In DAC, pages 161:1-161:6, 2014.
-
(2014)
DAC
, pp. 1611-1616
-
-
Bokhari, H.1
Javaid, H.2
Shafique, M.3
Henkel, J.4
Parameswaran, S.5
-
4
-
-
84876539755
-
Improving energy efficiency through parallelization and vectorization on intel core i5 and i7 processors
-
J. Cebrian, L. Natvig, and J. Meyer. Improving energy efficiency through parallelization and vectorization on intel core i5 and i7 processors. In SC Companion, pages 675-684, 2012.
-
(2012)
SC Companion
, pp. 675-684
-
-
Cebrian, J.1
Natvig, L.2
Meyer, J.3
-
6
-
-
81355136053
-
Economic learning for thermal-aware power budgeting in many-core architectures
-
T. Ebi, D. Kramer, W. Karl, and J. Henkel. Economic learning for thermal-aware power budgeting in many-core architectures. In CODES+ISSS, pages 189-196, 2011.
-
(2011)
CODES+ISSS
, pp. 189-196
-
-
Ebi, T.1
Kramer, D.2
Karl, W.3
Henkel, J.4
-
7
-
-
80052528714
-
Dark silicon and the end of multicore scaling
-
H. Esmaeilzadeh, E. Blem, R. St.Amant, K. Sankaralingam, and D. Burger. Dark silicon and the end of multicore scaling. In ISCA, pages 365-376, 2011.
-
(2011)
ISCA
, pp. 365-376
-
-
Esmaeilzadeh, H.1
Blem, E.2
Amant R.St.3
Sankaralingam, K.4
Burger, D.5
-
8
-
-
84898072404
-
5.6 adaptive clocking system for improved power efficiency in a 28nm x86-64 microprocessor
-
A. Grenat, S. Pant, R. Rachala, and S. Naffiziger. 5.6 adaptive clocking system for improved power efficiency in a 28nm x86-64 microprocessor. In ISSCC, pages 106-107, 2014.
-
(2014)
ISSCC
, pp. 106-107
-
-
Grenat, A.1
Pant, S.2
Rachala, R.3
Naffiziger, S.4
-
9
-
-
33746400169
-
HotSpot: A compact thermal modeling methodology for early-stage VLSI design
-
May
-
W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M. R. Stan. HotSpot: A compact thermal modeling methodology for early-stage VLSI design. IEEE Transactions on VLSI Systems, 14(5):501-513, May 2006.
-
(2006)
IEEE Transactions on VLSI Systems
, vol.14
, Issue.5
, pp. 501-513
-
-
Huang, W.1
Ghosh, S.2
Velusamy, S.3
Sankaranarayanan, K.4
Skadron, K.5
Stan, M.R.6
-
11
-
-
84903208378
-
ASER: Adaptive soft error resilience for reliability-heterogeneous processors in the dark silicon era
-
F. Kriebel, S. Rehman, D. Sun, M. Shafique, and J. Henkel. ASER: Adaptive soft error resilience for reliability-heterogeneous processors in the dark silicon era. In DAC, pages 12:1-12:6, 2014.
-
(2014)
DAC
, pp. 121-126
-
-
Kriebel, F.1
Rehman, S.2
Sun, D.3
Shafique, M.4
Henkel, J.5
-
12
-
-
84869073803
-
Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores
-
E. Kultursay, K. Swaminathan, V. Saripalli, V. Narayanan, M. T. Kandemir, and S. Datta. Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores. In CODES+ISSS, pages 245-254, 2012.
-
(2012)
CODES+ISSS
, pp. 245-254
-
-
Kultursay, E.1
Swaminathan, K.2
Saripalli, V.3
Narayanan, V.4
Kandemir, M.T.5
Datta, S.6
-
13
-
-
70350742069
-
Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating
-
J. Lee and N. S. Kim. Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating. In DAC, pages 47-50, 2009.
-
(2009)
DAC
, pp. 47-50
-
-
Lee, J.1
Kim, N.S.2
-
14
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
S. Li, J.-H. Ahn, R. Strong, J. Brockman, D. Tullsen, and N. Jouppi. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In MICRO-42, pages 469-480, 2009.
-
(2009)
MICRO-42
, pp. 469-480
-
-
Li, S.1
Ahn, J.-H.2
Strong, R.3
Brockman, J.4
Tullsen, D.5
Jouppi, N.6
-
15
-
-
84879849487
-
Hierarchical power management for asymmetric multi-core in dark silicon era
-
T. Muthukaruppan, M. Pricopi, V. Venkataramani, T. Mitra, and S. Vishin. Hierarchical power management for asymmetric multi-core in dark silicon era. In DAC, pages 174:1-174:9, 2013.
-
(2013)
DAC
, pp. 1741-1749
-
-
Muthukaruppan, T.1
Pricopi, M.2
Venkataramani, V.3
Mitra, T.4
Vishin, S.5
-
17
-
-
84910607196
-
Job arrival rate aware scheduling for asymmetric multi-core servers in the dark silicon era
-
B. Raghunathan and S. Garg. Job arrival rate aware scheduling for asymmetric multi-core servers in the dark silicon era. In CODES+ISSS, 2014.
-
(2014)
CODES+ISSS
-
-
Raghunathan, B.1
Garg, S.2
-
18
-
-
84885641092
-
Cherry-picking: Exploiting process variations in dark-silicon homogeneous chip multi-processors
-
B. Raghunathan, Y. Turakhia, S. Garg, and D. Marculescu. Cherry-picking: Exploiting process variations in dark-silicon homogeneous chip multi-processors. In DATE, pages 39-44, 2013.
-
(2013)
DATE
, pp. 39-44
-
-
Raghunathan, B.1
Turakhia, Y.2
Garg, S.3
Marculescu, D.4
-
19
-
-
84859729360
-
Power-management architecture of the intel microarchitecture code-named sandy bridge
-
March
-
E. Rotem, A. Naveh, D. Rajwan, A. Ananthakrishnan, and E. Weissmann. Power-management architecture of the intel microarchitecture code-named sandy bridge. Micro, IEEE, 32(2):20-27, March 2012.
-
(2012)
Micro IEEE
, vol.32
, Issue.2
, pp. 20-27
-
-
Rotem, E.1
Naveh, A.2
Rajwan, D.3
Ananthakrishnan, A.4
Weissmann, E.5
-
20
-
-
84903210981
-
The EDA challenges in the dark silicon era: Temperature, reliability, and variability perspectives
-
M. Shafique, S. Garg, J. Henkel, and D. Marculescu. The EDA challenges in the dark silicon era: Temperature, reliability, and variability perspectives. In DAC, pages 185:1-185:6, 2014.
-
(2014)
DAC
, pp. 1851-1856
-
-
Shafique, M.1
Garg, S.2
Henkel, J.3
Marculescu, D.4
|