-
1
-
-
80052528714
-
Dark silicon and the end of multicore scaling
-
ser. ISCA '11. New York, NY, USA ACM.[Online]
-
H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, and D. Burger, "Dark silicon and the end of multicore scaling," in Proceedings of the 38th annual international symposium on Computer architecture, ser. ISCA '11. New York, NY, USA: ACM, 2011, pp. 365-376.[Online]. Available: http://doi.acm.org/10.1145/2000064.2000108
-
(2011)
Proceedings of the 38th Annual International Symposium on Computer Architecture
, pp. 365-376
-
-
Esmaeilzadeh, H.1
Blem, E.2
St. Amant, R.3
Sankaralingam, K.4
Burger, D.5
-
8
-
-
70449722984
-
-
N. Firasta, M. Buxton, P. Jinbo, K. Nasri, and S. Kuo, "White paper: Intel avx: New frontiers in performance improvements and energy efficiency," 2008.
-
(2008)
White Paper: Intel Avx: New Frontiers in Performance Improvements and Energy Efficiency
-
-
Firasta, N.1
Buxton, M.2
Jinbo, P.3
Nasri, K.4
Kuo, S.5
-
10
-
-
64349107705
-
Models and metrics to enable energy-efficiency optimizations
-
Dec
-
S. Rivoire, M. Shah, P. Ranganatban, C. Kozyrakis, and J. Meza, "Models and metrics to enable energy-efficiency optimizations," Computer, vol. 40, no. 12, pp. 39 -48, Dec. 2007.
-
(2007)
Computer
, vol.40
, Issue.12
, pp. 39-48
-
-
Rivoire, S.1
Shah, M.2
Ranganatban, P.3
Kozyrakis, C.4
Meza, J.5
-
11
-
-
0025450394
-
A voltage reduction technique for digital systems
-
P. Macken, M. Degrauwe, M. Van Paemel, and H. Oguey, "A voltage reduction technique for digital systems," in Proceedings of the 37th IEEE International Solid-State Circuits Conference. Digest of Technical Papers, 1990, pp. 238-239.
-
(1990)
Proceedings of the 37th IEEE International Solid-State Circuits Conference. Digest of Technical Papers
, pp. 238-239
-
-
MacKen, P.1
Degrauwe, M.2
Van Paemel, M.3
Oguey, H.4
-
12
-
-
0034853734
-
Dynamic voltage scaling and power management for portable systems
-
T. Simunic, L. Benini, A. Acquaviva, P. Glynn, and G. de Micheli, "Dynamic voltage scaling and power management for portable systems," in Proceedings on Design Automation Conference, 2001, pp. 524-529.
-
(2001)
Proceedings on Design Automation Conference
, pp. 524-529
-
-
Simunic, T.1
Benini, L.2
Acquaviva, A.3
Glynn, P.4
De Micheli, G.5
-
13
-
-
28244473719
-
Voltage and frequency control with adaptive reaction time in multiple-clock-domain processors
-
Q. Wu, P. Juang, M. Martonosi, and D. W. Clark, "Voltage and frequency control with adaptive reaction time in multiple-clock-domain processors," in Proceedings of the 11th International Symposium on High-Performance Computer Architecture, 2005, pp. 178-189.
-
(2005)
Proceedings of the 11th International Symposium on High-Performance Computer Architecture
, pp. 178-189
-
-
Wu, Q.1
Juang, P.2
Martonosi, M.3
Clark, D.W.4
-
14
-
-
22944492681
-
Microprocessor design issues: Thoughts on the road ahead
-
M. J. Flynn and P. Hung, "Microprocessor design issues: Thoughts on the road ahead," IEEE Micro, vol. 25, no. 3, pp. 16-31, 2005.
-
(2005)
IEEE Micro
, vol.25
, Issue.3
, pp. 16-31
-
-
Flynn, M.J.1
Hung, P.2
-
16
-
-
0346750535
-
Leakage current: Moore's law meets static power
-
N. S. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner, J. S. Hu, M. J. Irwin, M. Kandemir, and V. Narayanan, "Leakage current: Moore's law meets static power," Computer, vol. 36, no. 12, pp. 68-75, 2003.
-
(2003)
Computer
, vol.36
, Issue.12
, pp. 68-75
-
-
Kim, N.S.1
Austin, T.2
Baauw, D.3
Mudge, T.4
Flautner, K.5
Hu, J.S.6
Irwin, M.J.7
Kandemir, M.8
Narayanan, V.9
-
19
-
-
0033672408
-
Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories
-
M. Powell, S.-H. Yang, B. Falsafi, K. Roy, and T. N. Vijaykumar, "Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories," in Proceedings of the International Symposium on Low Power Electronics and Design, 2000, pp. 90-95.
-
(2000)
Proceedings of the International Symposium on Low Power Electronics and Design
, pp. 90-95
-
-
Powell, M.1
Yang, S.-H.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.N.5
-
20
-
-
84867409498
-
-
[Online]. Available: http://www.phoronix.com/scan.php?page=news item&px=OTgxNQ
-
A. Iyer, "Demystify power gating and stop leakage cold. website: http://www.powermanagementdesignline.com/howto/181500691." [Online]. Available: http://www.phoronix.com/scan.php?page=news item&px=OTgxNQ
-
Demystify Power Gating and Stop Leakage Cold. Website
-
-
Iyer, A.1
-
21
-
-
16244409255
-
Microarchitectural techniques for power gating of execution units
-
ser. ISLPED '04. New York, NY, USA ACM.[Online]
-
Z. Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson, and P. Bose, "Microarchitectural techniques for power gating of execution units," in Proceedings of the 2004 international symposium on Low power electronics and design, ser. ISLPED '04. New York, NY, USA: ACM, 2004, pp. 32-37.[Online]. Available: http://doi.acm.org/10.1145/1013235.1013249
-
(2004)
Proceedings of the 2004 International Symposium on Low Power Electronics and Design
, pp. 32-37
-
-
Hu, Z.1
Buyuktosunoglu, A.2
Srinivasan, V.3
Zyuban, V.4
Jacobson, H.5
Bose, P.6
-
22
-
-
84876551103
-
-
C. Kim, N. Satish, J. Chhugani, H. Saito, R. Krishnaiyer, M. Smelyanskiy, M. Girkar, and P. Dubey, "Technical report: Closing the ninja performance gap through traditional programming and compiler technology," 2012.
-
(2012)
Technical Report: Closing the Ninja Performance Gap Through Traditional Programming and Compiler Technology
-
-
Kim, C.1
Satish, N.2
Chhugani, J.3
Saito, H.4
Krishnaiyer, R.5
Smelyanskiy, M.6
Girkar, M.7
Dubey, P.8
-
23
-
-
84876537410
-
-
L. Adhianto, M. Fagan, M. Krentel, G. Marin, J. Mellor-crummey, and N. Tallent, "Hpctoolkit: Performance measurement and analysis for supercomputers with node-level parallelism," 2010.
-
(2010)
Hpctoolkit: Performance Measurement and Analysis for Supercomputers with Node-level Parallelism
-
-
Adhianto, L.1
Fagan, M.2
Krentel, M.3
Marin, G.4
Mellor-Crummey, J.5
Tallent, N.6
-
28
-
-
77950629423
-
Powerpack: Energy profiling and analysis of high-performance systems and applications
-
may
-
R. Ge, X. Feng, S. Song, H.-C. Chang, D. Li, and K. Cameron, "Powerpack: Energy profiling and analysis of high-performance systems and applications," Parallel and Distributed Systems, IEEE Transactions on, vol. 21, no. 5, pp. 658 -671, may 2010.
-
(2010)
Parallel and Distributed Systems IEEE Transactions on
, vol.21
, Issue.5
, pp. 658-671
-
-
Ge, R.1
Feng, X.2
Song, S.3
Chang, H.-C.4
Li, D.5
Cameron, K.6
-
29
-
-
84868130067
-
Flexible workload generation for HPC cluster efficiency benchmarking
-
[Online]
-
D. Molka, D. Hackenberg, R. Schöne, T. Minartz, and W. Nagel, "Flexible workload generation for HPC cluster efficiency benchmarking," Computer Science-Research and Development, pp. 1-9, 2011.[Online]. Available: http://dx.doi.org/10.1007/s00450-011-0194-9
-
(2011)
Computer Science-Research and Development
, pp. 1-9
-
-
Molka, D.1
Hackenberg, D.2
Schöne, R.3
Minartz, T.4
Nagel, W.5
-
30
-
-
84872447700
-
Optimization of power consumption in the iterative solution of sparse linear systems on graphics processors
-
H. Anzt, M. Castillo, J. Fernández, V. Heuveline, F. Igual, R. Mayo, and E. Quintana-Ortí, "Optimization of power consumption in the iterative solution of sparse linear systems on graphics processors," Computer Science-Research and Development, pp. 1-9, 2011.
-
(2011)
Computer Science-Research and Development
, pp. 1-9
-
-
Anzt, H.1
Castillo, M.2
Fernández, J.3
Heuveline, V.4
Igual, F.5
Mayo, R.6
Quintana-Ortí, E.7
-
31
-
-
84858791438
-
Clearing the clouds: A study of emerging scale-out workloads on modern hardware
-
recognized as Best Paper by the program committee
-
M. Ferdman, A. Adileh, O. Kocberber, S. Volos, M. Alisafaee, D. Jevdjic, C. Kaynak, A. D. Popescu, A. Ailamaki, and B. Falsafi, "Clearing the Clouds: A Study of Emerging Scale-out Workloads on Modern Hardware," in 17th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2012, recognized as Best Paper by the program committee.
-
(2012)
17th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
-
-
Ferdman, M.1
Adileh, A.2
Kocberber, O.3
Volos, S.4
Alisafaee, M.5
Jevdjic, D.6
Kaynak, C.7
Popescu, A.D.8
Ailamaki, A.9
Falsafi, B.10
-
32
-
-
34548142850
-
Power-performance considerations of parallel computing on chip multiprocessors
-
Dec.[Online]
-
J. Li and J. F. Martínez, "Power-performance considerations of parallel computing on chip multiprocessors," ACM Transactions on Architecture and Code Optimization, vol. 2, no. 4, pp. 397-422, Dec. 2005.[Online]. Available: http://doi.acm.org/10.1145/1113841.1113844
-
(2005)
ACM Transactions on Architecture and Code Optimization
, vol.2
, Issue.4
, pp. 397-422
-
-
Li, J.1
Martínez, J.F.2
-
33
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li, "The PARSEC benchmark suite: characterization and architectural implications," in Proc. of the 17th int'l conf. on Parallel Architectures and Compilation Techniques, ser. PACT '08, 2008, pp. 72-81.
-
(2008)
Proc. of the 17th Int'l Conf. on Parallel Architectures and Compilation Techniques, Ser. PACT '08
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
34
-
-
84862076438
-
Comparing the power and performance of intel's scc to state-of-The-Art cpus and gpus
-
E. Totoni, B. Behzad, S. Ghike, and J. Torrellas, "Comparing the power and performance of intel's scc to state-of-The-Art cpus and gpus," Performance Analysis of Systems and Software, IEEE International Symmposium on, vol. 0, pp. 78-87, 2012.
-
(2012)
Performance Analysis of Systems and Software IEEE International Symmposium on 0
, pp. 78-87
-
-
Totoni, E.1
Behzad, B.2
Ghike, S.3
Torrellas, J.4
|