-
4
-
-
49449111374
-
Implementing fault-tolerance in real-time programs by automatic program transformations
-
AYAV, T., FRADET, P., AND GIRAULT, A. 2008. Implementing fault-tolerance in real-time programs by automatic program transformations. ACM Trans. Embed. Comput. Syst 7, 4, 1-43.
-
(2008)
ACM Trans. Embed. Comput. Syst
, vol.7
, Issue.4
, pp. 1-43
-
-
Ayav, T.1
Fradet, P.2
Girault, A.3
-
5
-
-
39049118368
-
Coding schemes for data transmission over bus systems
-
DOI 10.1109/ISIT.2006.261740, 4036273, Proceedings - 2006 IEEE International Symposium on Information Theory, ISIT 2006
-
BALAKIRSKY, V. B. AND VINCK, A. J. H. 2006. Coding schemes for data transmission over bus systems. In Proceedings of the IEEE International Symposium on Information Theory. 1778-1782. (Pubitemid 351244404)
-
(2006)
IEEE International Symposium on Information Theory - Proceedings
, pp. 1778-1782
-
-
Balakirsky, V.B.1
Han Vinck, A.J.2
-
6
-
-
84944039813
-
A watchdog processor to detect data and control flow errors
-
BENSO, A., DI CARLO, S., DI NATALE, G., AND PRINETTO, P. 2003. A watchdog processor to detect data and control flow errors. In Proceedings of the 9th IEEE On-Line Testing Symposium. 144-148.
-
(2003)
Proceedings of the 9th IEEE On-Line Testing Symposium
, pp. 144-148
-
-
Benso, A.1
Di Carlo, S.2
Di Natale, G.3
Prinetto, P.4
-
7
-
-
0028532784
-
Scheduling algorithms for fault-tolerance in hard-real time systems
-
BERTOSSI, A. AND MANCINI, L. 1994. Scheduling algorithms for fault-tolerance in hard-real time systems. Real Time Syst. 7, 3, 229-256.
-
(1994)
Real Time Syst.
, vol.7
, Issue.3
, pp. 229-256
-
-
Bertossi, A.1
Mancini, L.2
-
8
-
-
21444439404
-
Statistical criteria to rationalize the choice of run-time observation points in embedded software
-
Proceedings - 1st International Workshop on Testability Assessment, IWoTA 2004
-
BOURRET, P., FERNANDEZ, A., AND SEGUIN, C. 2004. Statistical criteria to rationalize the choice of runtime observation points in embedded software. In Proceedings of the 1st International Workshop on Testability Assessment. 41-49. (Pubitemid 40914821)
-
(2004)
Proceedings - 1st International Workshop on Testability Assessment, IWoTA 2004
, pp. 41-49
-
-
Bourret, P.1
Fernandez, A.2
Seguin, C.3
-
12
-
-
63149197945
-
FD-HGAC: A hybrid heuristic/genetic algorithm hardware/software co-synthesis framework with fault detection
-
CONNER, J., XIE, Y., KANDEMIR, M., LINK, G., AND DICK, R. 2005. FD-HGAC: A hybrid heuristic/genetic algorithm hardware/software co-synthesis framework with fault detection. In Proceedings of the Asia and South Pacific Design Automation Conference. 709-712.
-
(2005)
Proceedings of the Asia and South Pacific Design Automation Conference
, pp. 709-712
-
-
Conner, J.1
Xie, Y.2
Kandemir, M.3
Link, G.4
Dick, R.5
-
13
-
-
0141837018
-
Trends and challenges in VLSI circuit reliability
-
CONSTANTINESCU, C. 2003. Trends and challenges in VLSI circuit reliability. IEEE Micro 23, 4, 14-19.
-
(2003)
IEEE Micro
, vol.23
, Issue.4
, pp. 14-19
-
-
Constantinescu, C.1
-
14
-
-
0034289926
-
Scheduling with bus access optimization for distributed embedded systems
-
DOI 10.1109/92.894152
-
ELES, P., DOBOLI, A., POP, P., AND PENG, Z. 2000. Scheduling with bus access optimization for distributed embedded systems. IEEE Trans. VLSI Syst. 8, 5, 472-491. (Pubitemid 32255524)
-
(2000)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.8
, Issue.5
, pp. 472-491
-
-
Eles, P.1
Doboli, A.2
Pop, P.3
Peng, Z.4
-
16
-
-
1542330150
-
An algorithm for automatically obtaining distributed and fault-tolerant static schedules
-
GIRAULT, A., KALLA, H., SIGHIREANU, M., AND SOREL, Y. 2003. An algorithm for automatically obtaining distributed and fault-tolerant static schedules. In Proceedings of the International Conference on Dependable Systems and Networks. 159-168.
-
(2003)
Proceedings of the International Conference on Dependable Systems and Networks
, pp. 159-168
-
-
Girault, A.1
Kalla, H.2
Sighireanu, M.3
Sorel, Y.4
-
17
-
-
0037342701
-
A fault-tolerant scheduling algorithm for real-time periodic tasks with possible software faults
-
HAN, C. C., SHIN, K. G., AND WU, J. 2003. A fault-tolerant scheduling algorithm for real-time periodic tasks with possible software faults. IEEE Trans. Comput. 52, 3, 362-372.
-
(2003)
IEEE Trans. Comput.
, vol.52
, Issue.3
, pp. 362-372
-
-
Han, C.C.1
Shin, K.G.2
Wu, J.3
-
18
-
-
33746288035
-
Dynamic power-aware scheduling algorithms for real-time task sets with fault-tolerance in parallel and distributed computing environment
-
HAN, J.-J. AND LI, Q.-H. 2005. Dynamic power-aware scheduling algorithms for real-time task sets with fault-tolerance in parallel and distributed computing environment. In Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium. 6-16.
-
(2005)
Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium
, pp. 6-16
-
-
Han, J.-J.1
Li, Q.-H.2
-
19
-
-
0034789870
-
Impact of CMOS process scaling and SOI on the soft error rates of logic processes
-
HARELAND, S., MAIZ, J., ALAVI, M., MISTRY, K., WALSTA, S., AND DAI, C. H. 2001. Impact of CMOS process scaling and SOI on the soft error rates of logic processes. In Proceedings of the Symposium on VLSI Technology. 73-74. (Pubitemid 32950666)
-
(2001)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 73-74
-
-
Hareland, S.1
Maiz, J.2
Alavi, M.3
Mistry, K.4
Walsta, S.5
Dai, C.6
-
20
-
-
51549100041
-
Measured faults during lightning storms
-
HEINE, P., TURUNEN, J., LEHTONEN, M., AND OIKARINEN, A. 2005. Measured faults during lightning storms. In Proceedings of IEEE PowerTech.
-
(2005)
Proceedings of IEEE PowerTech
-
-
Heine, P.1
Turunen, J.2
Lehtonen, M.3
Oikarinen, A.4
-
21
-
-
78651392174
-
-
Ph.D. thesis No. 1290, Dept. of Computer and Information Science, Link̈oping University, Link̈oping, Sweden. Permanent link
-
IZOSIMOV, V. 2009. Scheduling and optimization of fault-tolerant distributed embedded systems, Ph.D. thesis No. 1290, Dept. of Computer and Information Science, Link̈oping University, Link̈oping, Sweden. Permanent link: http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-51727.
-
(2009)
Scheduling and Optimization of Fault-tolerant Distributed Embedded Systems
-
-
Izosimov, V.1
-
22
-
-
33646914393
-
Design optimization of time- and cost-constrained fault-tolerant distributed embedded systems
-
DOI 10.1109/DATE.2005.116, 1395691, Proceedings - Design, Automation and Test in Europe, DATE '05
-
IZOSIMOV, V., POP, P., ELES, P., AND PENG, Z. 2005. Design optimization of time- and cost-constrained fault-tolerant distributed embedded systems. In Proceedings of the Design Automation and Test in Europe Conference. 864-869. (Pubitemid 44172107)
-
(2005)
Proceedings -Design, Automation and Test in Europe, DATE '05
, vol.II
, pp. 864-869
-
-
Izosimov, V.1
Pop, P.2
Eles, P.3
Peng, Z.4
-
23
-
-
34047193426
-
Synthesis of fault-tolerant schedules with transparency/performance trade-offs for distributed embedded systems
-
IZOSIMOV, V., POP, P., ELES, P., AND PENG, Z. 2006a. Synthesis of fault-tolerant schedules with transparency/performance trade-offs for distributed embedded systems. In Proceedings of the Design Automation and Test in Europe Conference. 706-711.
-
(2006)
Proceedings of the Design Automation and Test in Europe Conference
, pp. 706-711
-
-
Izosimov, V.1
Pop, P.2
Eles, P.3
Peng, Z.4
-
24
-
-
34547988324
-
Mapping of fault-tolerant applications with transparency on distributed embedded systems
-
DOI 10.1109/DSD.2006.65, 1690056, Proceedings of the 9th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools, DSD 2006
-
IZOSIMOV, V., POP, P., ELES, P., AND PENG, Z. 2006b. Mapping of fault-tolerant applications with transparency on distributed embedded systems. In Proceedings of the 9th Euromicro Conference on Digital System Design. 313-320. (Pubitemid 47271495)
-
(2006)
Proceedings of the 9th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools, DSD 2006
, pp. 313-320
-
-
Izosimov, V.1
Pop, P.2
Eles, P.3
Peng, Z.4
-
25
-
-
10444256214
-
Modeling and simulation of time domain faults in digital systems
-
JUNIOR, D. B., VARGAS, F., SANTOS, M. B., TEIXEIRA, I. C., AND TEIXEIRA, J. P. 2004. Modeling and simulation of time domain faults in digital systems. In Proceedings of the 10th IEEE International On- Line Testing Symposium. 5-10.
-
(2004)
Proceedings of the 10th IEEE International On- Line Testing Symposium
, pp. 5-10
-
-
Junior, D.B.1
Vargas, F.2
Santos, M.B.3
Teixeira, I.C.4
Teixeira, J.P.5
-
26
-
-
0037331028
-
Transparent recovery from intermittent faults in time-triggered distributed systems
-
KANDASAMY, N., HAYES, J. P., AND MURRAY, B. T. 2003a. Transparent recovery from intermittent faults in time-triggered distributed systems. IEEE Trans. Comput. 52, 2, 113-125.
-
(2003)
IEEE Trans. Comput
, vol.52
, Issue.2
, pp. 113-125
-
-
Kandasamy, N.1
Hayes, J.P.2
Murray, B.T.3
-
27
-
-
35248842114
-
Dependable communication synthesis for distributed embedded systems
-
KANDASAMY, N., HAYES, J. P., AND MURRAY, B. T. 2003b. Dependable communication synthesis for distributed embedded systems. In Proceedings of the Computer Safety, Reliability and Security Conference. 275-288.
-
(2003)
Proceedings of the Computer Safety, Reliability and Security Conference
, pp. 275-288
-
-
Kandasamy, N.1
Hayes, J.P.2
Murray, B.T.3
-
28
-
-
0141684229
-
The time-triggered architecture
-
KOPETZ, H. AND BAUER, G. 2003. The time-triggered architecture. Proc. IEEE 91, 1, 112-126.
-
(2003)
Proc. IEEE
, vol.91
, Issue.1
, pp. 112-126
-
-
Kopetz, H.1
Bauer, G.2
-
29
-
-
0025683696
-
Tolerating transient faults in MARS
-
KOPETZ, H., KANTZ, H., GRUNSTEIDL, G., PUSCHNER, P., AND REISINGER, J. 1990. Tolerating transient faults in MARS. In Proceedings of the 20th International Symposium on Fault-Tolerant Computing. 466-473.
-
(1990)
Proceedings of the 20th International Symposium on Fault-Tolerant Computing
, pp. 466-473
-
-
Kopetz, H.1
Kantz, H.2
Grunsteidl, G.3
Puschner, P.4
Reisinger, J.5
-
30
-
-
27544465193
-
From a federated to an integrated architecture for dependable embedded real-time systems
-
Vienna, Austria
-
KOPETZ, H., OBERMAISSER, R., PETI, P., AND SURI, N. 2004. From a federated to an integrated architecture for dependable embedded real-time systems. Tech. Rep. 22, Technische Universiẗat Wien, Vienna, Austria.
-
(2004)
Tech. Rep., Technische Universiẗat Wien
, vol.22
-
-
Kopetz, H.1
Obermaisser, R.2
Peti, P.3
Suri, N.4
-
32
-
-
0027664209
-
Reliability of checkpointed real-time systems using time redundancy
-
KRISHNA, C. M., AND SINGH, A. D. 1993. Reliability of Checkpointed Real-Time Systems Using Time Redundancy. IEEE Trans. Reliab. 42, 3, 427-435.
-
(1993)
IEEE Trans. Reliab.
, vol.42
, Issue.3
, pp. 427-435
-
-
Krishna, C.M.1
Singh, A.D.2
-
34
-
-
0034276272
-
Tolerance to multiple transient faults for aperiodic tasks in hard real-time systems
-
LIBERATO, F., MELHEM, R., AND MOSSE, D. 2000. Tolerance to multiple transient faults for aperiodic tasks in hard real-time systems. IEEE Trans. Comput. 49, 9, 906-914.
-
(2000)
IEEE Trans. Comput.
, vol.49
, Issue.9
, pp. 906-914
-
-
Liberato, F.1
Melhem, R.2
Mosse, D.3
-
35
-
-
2142815785
-
Trading off transient fault tolerance and power consumption in deep submicron (DSM) VLSI circuits
-
MAHESHWARI, A., BURLESON, W., AND TESSIER, R. 2004. Trading off transient fault tolerance and power consumption in deep submicron (DSM) VLSI circuits. IEEE Trans. VLSI Syst. 12, 3, 299-311.
-
(2004)
IEEE Trans. VLSI Syst.
, vol.12
, Issue.3
, pp. 299-311
-
-
Maheshwari, A.1
Burleson, W.2
Tessier, R.3
-
37
-
-
1342323873
-
The interplay of power management and fault recovery in real-time systems
-
MELHEM, R., MOSSE, D., AND ELNOZAHY, E. 2004. The interplay of power management and fault recovery in real-time systems. IEEE Trans. Comput. 53, 2, 217-231.
-
(2004)
IEEE Trans. Comput.
, vol.53
, Issue.2
, pp. 217-231
-
-
Melhem, R.1
Mosse, D.2
Elnozahy, E.3
-
38
-
-
0032317504
-
On-line detection of logic errors due to crosstalk, delay, and transient faults
-
METRA, C., FAVALLI, M., AND RICCO, B. 1998. On-line detection of logic errors due to crosstalk, delay, and transient faults. In Proceedings of the International Test Conference. 524-533.
-
(1998)
Proceedings of the International Test Conference
, pp. 524-533
-
-
Metra, C.1
Favalli, M.2
Ricco, B.3
-
39
-
-
11044229324
-
Software detection mechanisms providing full coverage against single bit-flip faults
-
DOI 10.1109/TNS.2004.839110
-
NICOLESCU, B., SAVARIA, Y., AND VELAZCO, R. 2004. Software detection mechanisms providing full coverage against single bit-flip faults. IEEE Trans. Nucl. Sci. 51, 6, 3510-3518. (Pubitemid 40044040)
-
(2004)
IEEE Transactions on Nuclear Science
, vol.51
, Issue.6
, pp. 3510-3518
-
-
Nicolescu, B.1
Savaria, Y.2
Velazco, R.3
-
40
-
-
0036507891
-
Control-flow checking by software signatures
-
DOI 10.1109/24.994926, PII S0018952902029548
-
OH, N., SHIRVANI, P. P., AND MCCLUSKEY, E. J. 2002. Control-flow checking by software signatures. IEEE Trans. Reliab. 51, 2, 111-122. (Pubitemid 34630928)
-
(2002)
IEEE Transactions on Reliability
, vol.51
, Issue.1
, pp. 111-122
-
-
Oh, N.1
Shirvani, P.P.2
McCluskey, E.J.3
-
41
-
-
0036507790
-
Error detection by duplicated instructions in super-scalar processors
-
DOI 10.1109/24.994913, PII S0018952902026076
-
OH, N., SHIRVANI, P. P., AND MCCLUSKEY, E. J. 2002. Error detection by duplicated instructions in superscalar processors. IEEE Trans. Reliab. 51, 1, 63-75. (Pubitemid 34630924)
-
(2002)
IEEE Transactions on Reliability
, vol.51
, Issue.1
, pp. 63-75
-
-
Oh, N.1
Shirvani, P.P.2
McCluskey, E.J.3
-
42
-
-
0028501876
-
Coactive scheduling and checkpoint determination during high level synthesis of self-recovering microarchitectures
-
ORAILOGLU, A. AND KARRI, R. 1994. Coactive scheduling and checkpoint determination during high level synthesis of self-recovering microarchitectures. IEEE Trans.VLSI Syst. 2, 3, 304-311.
-
(1994)
IEEE Trans.VLSI Syst.
, vol.2
, Issue.3
, pp. 304-311
-
-
Orailoglu, A.1
Karri, R.2
-
43
-
-
3042615325
-
Fault-tolerant deployment of embedded software for cost-sensitive real-time feedback-control applications
-
PINELLO, C., CARLONI, L. P., AND SANGIOVANNI-VINCENTELLI, A. L. 2004. Fault-tolerant deployment of embedded software for cost-sensitive real-time feedback-control applications. In Proceedings of the Design, Automation and Test in Europe Conference. 1164-1169.
-
(2004)
Proceedings of the Design, Automation and Test in Europe Conference
, pp. 1164-1169
-
-
Pinello, C.1
Carloni, L.P.2
Sangiovanni-Vincentelli, A.L.3
-
44
-
-
42649083995
-
Fault-tolerant distributed deployment of embedded control software
-
DOI 10.1109/TCAD.2008.917971, 4492843
-
PINELLO, C., CARLONI, L. P., AND SANGIOVANNI-VINCENTELLI, A. L. 2008. Fault-tolerant distributed deployment of embedded control software. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 27, 5, 906- 919. (Pubitemid 351596172)
-
(2008)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.27
, Issue.5
, pp. 906-919
-
-
Pinello, C.1
Carloni, L.P.2
Sangiovanni-Vincentelli, A.L.3
-
45
-
-
34547304937
-
Efficient architecture for reed solomon block turbo code
-
PIRIOU, E., JEGO, C., ADDE, P., LE BIDAN, R., AND JEZEQUEL, M. 2006. Efficient architecture for Reed Solomon block turbo code. In Proceedings of the IEEE International Symposium on Circuits and Systems.
-
(2006)
Proceedings of the IEEE International Symposium on Circuits and Systems
-
-
Piriou, E.1
Jego, C.2
Adde, P.3
Le Bidan, R.4
Jezequel, M.5
-
48
-
-
84995274455
-
Schedulability-driven frame packing for multi-cluster distributed embedded systems
-
POP, P., ELES, P., AND PENG, Z. 2005. Schedulability-driven frame packing for multi-cluster distributed embedded systems. ACM Trans. Embed. Comput. Syst. 4, 1, 112-140.
-
(2005)
ACM Trans. Embed. Comput. Syst.
, vol.4
, Issue.1
, pp. 112-140
-
-
Pop, P.1
Eles, P.2
Peng, Z.3
-
49
-
-
38949167056
-
Scheduling and voltage scaling for energy/reliability trade-offs in fault-tolerant time-triggered embedded systems
-
DOI 10.1145/1289816.1289873, CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
-
POP, P., POULSEN, K. H., IZOSIMOV, V., AND ELES, P. 2007. Scheduling and voltage scaling for energy/reliability trade-offs in fault-tolerant time-triggered embedded systems. In Proceedings of the 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis. 233-238. (Pubitemid 351210072)
-
(2007)
CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
, pp. 233-238
-
-
Pop, P.1
Poulsen, K.H.2
Izosimov, V.3
Eles, P.4
-
50
-
-
63149107437
-
Design optimization of time- and cost-constrained fault-tolerant embedded systems with checkpointing and replication
-
POP, P., IZOSIMOV, V., ELES, P., AND PENG, Z. 2009. Design optimization of time- and cost-constrained fault-tolerant embedded systems with checkpointing and replication. IEEE Trans. VLSI Syst. 17, 3, 389-402.
-
(2009)
IEEE Trans. VLSI Syst.
, vol.17
, Issue.3
, pp. 389-402
-
-
Pop, P.1
Izosimov, V.2
Eles, P.3
Peng, Z.4
-
52
-
-
0013225410
-
Guest editorial: A review of worst-case execution-time analysis
-
PUSCHNER, P. AND BURNS, A. 2000. Guest editorial: A review of worst-case execution-time analysis. Real- Time Syst. 18, 2-3, 115-128.
-
(2000)
Real- Time Syst.
, vol.18
, Issue.2-3
, pp. 115-128
-
-
Puschner, P.1
Burns, A.2
-
54
-
-
28444432644
-
Multiple transient faults in logic: An issue for next generation ICs?
-
20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, DFT 2005
-
ROSSI, D., OMANA, M., TOMA, F., AND METRA, C. 2005. Multiple Transient Faults in Logic: An Issue for Next Generation ICs? In Proceedings of the 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems. 352-360. (Pubitemid 41731081)
-
(2005)
Proceedings - IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems
, pp. 352-360
-
-
Rossi, D.1
Omana, M.2
Toma, F.3
Metra, C.4
-
57
-
-
0036931372
-
Modeling the effect of technology trends on the soft error rate of combinational logic
-
SHIVAKUMAR, P., KISTLER, M., KECKLER, S. W., BURGER, D., AND ALVISI, L. 2002. Modeling the effect of technology trends on the soft error rate of combinational logic. In Proceedings of the International Conference on Dependable Systems and Networks. 389-398.
-
(2002)
Proceedings of the International Conference on Dependable Systems and Networks
, pp. 389-398
-
-
Shivakumar, P.1
Kistler, M.2
Keckler, S.W.3
Burger, D.4
Alvisi, L.5
-
59
-
-
0029475752
-
Hardware-software co-synthesis of fault-tolerant real-time distributed embedded systems
-
SRINIVASAN, S., AND JHA, N. K. 1995. Hardware-software co-synthesis of fault-tolerant real-time distributed embedded systems. In Proceedings of the Europe Design Automation Conference. 334-339.
-
(1995)
Proceedings of the Europe Design Automation Conference
, pp. 334-339
-
-
Srinivasan, S.1
Jha, N.K.2
-
60
-
-
36049011017
-
Using process-level redundancy to exploit multiple cores for transient fault tolerance
-
DOI 10.1109/DSN.2007.98, 4272981, Proceedings - 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2007
-
SHYE, A., MOSELEY, T., REDDI, V. J., BLOMSTEDT, J., AND CONNORS, D. A. 2007. Using process-level redundancy to exploit multiple cores for transient fault tolerance. In Proceedings of the International Conference on Dependable Systems and Networks. 297-306. (Pubitemid 350080435)
-
(2007)
Proceedings of the International Conference on Dependable Systems and Networks
, pp. 297-306
-
-
Shye, A.1
Moseley, T.2
Reddi, V.J.3
Blomstedt, J.4
Connors, D.A.5
-
61
-
-
33644967622
-
Unsafe at any airspeed?
-
STRAUSS, B., MORGAN, M. G., APT, J., AND STANCIL, D. D. 2006. Unsafe at any airspeed? IEEE Spectrum 43, 3, 44-49.
-
(2006)
IEEE Spectrum
, vol.43
, Issue.3
, pp. 44-49
-
-
Strauss, B.1
Morgan, M.G.2
Apt, J.3
Stancil, D.D.4
-
62
-
-
24344479850
-
Two-phase distributed observation problems
-
DOI 10.1109/ACSD.2005.33, 1508134, Proceedings - Fifth International Conference on Application of Concurrency to System Design, ACSD 2005
-
TRIPAKIS, S. 2005. Two-phase distributed observation problems. In Proceedings of the 5th International Conference on Application of Concurrency to System Design. 98-105. (Pubitemid 44088261)
-
(2005)
Proceedings - International Conference on Application of Concurrency to System Design, ACSD
, vol.2005
, pp. 98-105
-
-
Tripakis, S.1
-
63
-
-
0016518855
-
NP-complete scheduling problems
-
ULLMAN, D. 1975. NP-complete scheduling problems. Comput. Syst. Sci. 10, 384-393.
-
(1975)
Comput. Syst. Sci.
, vol.10
, pp. 384-393
-
-
Ullman, D.1
-
66
-
-
0038057807
-
Reduction in conducted EMI noises of a switching power supply after thermal management design
-
WANG, J. B. 2003. Reduction in conducted EMI noises of a switching power supply after thermal management design. IEE Proc. Electric Power Appl. 150, 3, 301-310.
-
(2003)
IEE Proc. Electric Power Appl.
, vol.150
, Issue.3
, pp. 301-310
-
-
Wang, J.B.1
-
67
-
-
4444374512
-
Compact thermal modeling for temperature-aware design
-
WEI, H., STAN, M. R., SKADRON, K., SANKARANARAYANAN, K., GHOSH, S., AND VELUSAMY, S. 2004. Compact thermal modeling for temperature-aware design. In Proceedings of the Design Automation Conference. 878-883.
-
(2004)
Proceedings of the Design Automation Conference
, pp. 878-883
-
-
Wei, H.1
Stan, M.R.2
Skadron, K.3
Sankaranarayanan, K.4
Ghosh, S.5
Velusamy, S.6
-
68
-
-
46149099836
-
Online task-scheduling for fault-tolerant low-energy real-time systems
-
WEI, T., MISHRA, P., WU, K., AND LIANG, H. 2006. Online task-scheduling for fault-tolerant low-energy real-time systems. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 522-527.
-
(2006)
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design
, pp. 522-527
-
-
Wei, T.1
Mishra, P.2
Wu, K.3
Liang, H.4
-
69
-
-
11244324452
-
Reliability-aware cosynthesis for embedded systems
-
XIE, Y., LI, L., KANDEMIR, M., VIJAYKRISHNAN, N., AND IRWIN, M. J. 2004. Reliability-aware cosynthesis for embedded systems. In Proceedings of the 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors. 41-50.
-
(2004)
Proceedings of the 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors
, pp. 41-50
-
-
Xie, Y.1
Li, L.2
Kandemir, M.3
Vijaykrishnan, N.4
Irwin, M.J.5
-
70
-
-
35148840021
-
Reliability-aware cosynthesis for embedded systems
-
XIE, Y., LI, L., KANDEMIR, M., VIJAYKRISHNAN, N., AND IRWIN, M. J. 2007. Reliability-aware cosynthesis for embedded systems. J. VLSI Signal Processing 49, 1, 87-99.
-
(2007)
J. VLSI Signal Processing
, vol.49
, Issue.1
, pp. 87-99
-
-
Xie, Y.1
Li, L.2
Kandemir, M.3
Vijaykrishnan, N.4
Irwin, M.J.5
-
72
-
-
31344464673
-
A unified approach for fault tolerance and dynamic power management in fixed-priority real-time embedded systems
-
DOI 10.1109/TCAD.2005.852657
-
ZHANG, Y., AND CHAKRABARTY, K. 2006. A unified approach for fault tolerance and dynamic power management in fixed-priority real-time embedded systems. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 25, 1, 111-125. (Pubitemid 43146103)
-
(2006)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.25
, Issue.1
, pp. 111-125
-
-
Zhang, Y.1
Chakrabarty, K.2
-
73
-
-
24944496850
-
Energy efficient configuration for QoS in reliable parallel servers
-
Dependable Computing - EDCC 2005: 5th European Dependable Computing Conference, Proceedings
-
ZHU, D., MELHEM, R., AND MOSŚE, D. 2005. Energy efficient configuration for QoS in reliable parallel servers. In Proceedings of the 5th European Dependable Computing Conference. Lecture Notes in Computer Science, vol. 3463. 122-139. (Pubitemid 41312753)
-
(2005)
Lecture Notes in Computer Science
, vol.3463
, pp. 122-139
-
-
Zhu, D.1
Melhem, R.2
Mosse, D.3
|